在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1701|回复: 8

[讨论] 奇分频时钟如何时序分析?

[复制链接]
发表于 2022-9-18 15:45:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我的设计中使用源时钟的上升沿和下降沿根据计数器来产生两个时钟clk1和clk2,然后我的3分频时钟clk_div3等于clk1 && clk2
sdc中定义了clk1和clk2,那么如何用clk_div3来进行时序分析?
求大佬指点

发表于 2022-9-19 10:20:43 | 显示全部楼层
为什么不直接用clock gate的方法呢,
 楼主| 发表于 2022-9-19 11:19:54 | 显示全部楼层


navylin1 发表于 2022-9-19 10:20
为什么不直接用clock gate的方法呢,


请指教下如何用 clock gate?
发表于 2022-9-19 13:36:52 | 显示全部楼层
本帖最后由 navylin1 于 2022-9-19 13:38 编辑

image.png
image.png
 楼主| 发表于 2022-9-19 14:52:32 | 显示全部楼层


没看明白,A是源时钟,B是什么?EN端?
发表于 2022-9-19 16:59:56 | 显示全部楼层
用bufgce不行吗
发表于 2022-9-20 05:32:52 | 显示全部楼层


Anturze 发表于 2022-9-19 14:52
没看明白,A是源时钟,B是什么?EN端?


B是最终output时钟

发表于 2022-10-5 16:14:59 | 显示全部楼层


navylin1 发表于 2022-9-20 05:32
B是最终output时钟


但是要求50%占空比你咋整
发表于 2022-10-7 10:16:55 | 显示全部楼层
当然,如果你要50%的话,那就然后你只可以逻辑电路的话,你就要小心啦,在pnr的时候最好加一些constraint,之后还要自己check
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-23 16:36 , Processed in 0.029650 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表