在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3994|回复: 12

[讨论] 大家有遇到过编译log中有的模块在verdi中打开波形时,此模块却是undefined吗

[复制链接]
发表于 2022-9-14 17:56:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家有遇到过编译log中有的模块在verdi中打开波形时,此模块却是undefined吗
 楼主| 发表于 2022-9-14 17:57:19 | 显示全部楼层
1663149430279.png
发表于 2022-9-15 09:22:43 | 显示全部楼层


应该是你用verdi单独编译  然后verdi编译的时候 没用对应的宏
发表于 2022-9-15 18:04:06 | 显示全部楼层
对,可以参考3楼的意见,检查一下该模块是否有宏包着。
发表于 2023-3-1 11:12:27 | 显示全部楼层
你好,请问undefined 怎么解决的
发表于 2023-3-1 11:17:28 | 显示全部楼层
编译时开启-lca -kdb,有波形用verdi -ssf xxx.fsdb,没波形用verdi -dbdir simv.daidir
发表于 2023-3-2 16:35:10 | 显示全部楼层


saipolo 发表于 2023-3-1 11:17
编译时开启-lca -kdb,有波形用verdi -ssf xxx.fsdb,没波形用verdi -dbdir simv.daidir


是这样的,好像还是undefined
发表于 2023-3-6 17:01:55 | 显示全部楼层
就是3楼说的原因,一般为了避免verdi编译和vcs编译吃的宏定义不同,通常verdi打开时直接吃vcs编译好的kdb文件
发表于 2023-3-7 11:12:54 | 显示全部楼层
检查一下vcs吃的filelist和verdi吃的filelist/database是不是一样,大概率是宏列表/文件不一致导致的,而你的verdi又不是直接打开vcs编译的数据库结果(如6楼所所的simv.daidir),所以导致波形缺少了部分hierarchy的编译结果。
话说verdi吃filelist而不用vcs的编译结果会导致verdi打开波形是重新对filelist重新编译一次的,其中的+define+语句verdi只能通过filelist生效,vcs的+define+语句可以同时对编译参数和filelist生效,所以,最大嫌疑是你的vcs编译通过makefile/其他脚本在编译阶段传递了某个+define+的宏,而verdi的脚本调用没有将同样的+define+宏传递进去,且verdi打开命令又不是直接打开vcs编译的simv.daidir数据库,而是直接用verdi打开filelist源代码导致verdi重新编译,自然打开波形的结果跟vcs编译的log对不上。
看看verdi和VCS的-help下对+define+的说明,两者有差别的

                               
登录/注册后可看大图

发表于 2023-3-9 10:44:45 | 显示全部楼层
感觉就是楼上说的问题 你可以试试直接verdi -elab simv.daidir -ssf x.fsdb
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 07:20 , Processed in 0.055403 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表