在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1456|回复: 1

[求助] VCS 仿真 vivado AXI-stream FIFO IP, s_axis_trdy 一直为低

[复制链接]
发表于 2022-7-14 11:58:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在ubuntu 20.04下,用vivado 2020.2 + VCS2018.
m_axis_trdy早已经拉高。IP也compile过了。
在win10下用vivado+modelsim仿真,没有这个问题。
有遇到过的吗?

image.png
发表于 2022-7-14 23:09:38 | 显示全部楼层
大概率复位问题,异步,同步复位,模型处理不好。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 11:13 , Processed in 0.013820 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表