在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1246|回复: 4

[求助] Verilog 一次仿真中得到多个 dump 文件

[复制链接]
发表于 2022-5-16 22:20:47 | 显示全部楼层 |阅读模式
50资产
      最近在进行一个设计的仿真时,需要对多个特定仿真时间段的波形进行观察,本来打算直接在一次仿真中将所需要的不同时间段的波形 dump 到不同的文件中便于查看,但是发现 dumpfile 似乎只能够初始化一次,即一次仿真中只能够将波形 dump 到一个文件中,而不能 dump 到多个文件中,代码示例如下

      module tb();
         ...
         initial begin
            $dumpfile("0.dump");
            $dumpvars(0, tb);
            $dumpon;
            #1;
            $dumpoff;

            #2;
            $dumpfile("1.dump");
            $dumpvars(0, tb);
            $dumpon;
            #3;
            $dumpoff;
         end
         ...
      endmodule

试了 fork-join 并行操作也无法得到想要的效果,目前能够想到的可能就是通过修改仿真脚本仿真多次来得到想要的多个文件,但是感觉不够简洁,
所以想问一下论坛的各位大佬们对这一问题可有解决办法

最佳答案

查看完整内容

使用fsdbextract命令从总波形上截取指定时间那部分波形观察就好了。
发表于 2022-5-16 22:20:48 | 显示全部楼层
使用fsdbextract命令从总波形上截取指定时间那部分波形观察就好了。
发表于 2022-5-18 10:01:49 | 显示全部楼层
试试多个initial模块里面调用dump呗  应该可以
 楼主| 发表于 2022-5-19 09:11:56 | 显示全部楼层


peiyaoli 发表于 2022-5-18 10:01
试试多个initial模块里面调用dump呗  应该可以


之前试过了,不太行
 楼主| 发表于 2022-5-27 12:50:03 | 显示全部楼层
谢谢各位大神解答!

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 18:29 , Processed in 0.022235 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表