在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2559|回复: 7

[求助] verilog底层信号引出问题

[复制链接]
发表于 2022-2-23 17:16:08 | 显示全部楼层 |阅读模式
100资产
顶层r8t1_top,子模块align,信号squ_add_en。最近新看到一种方法可以在不修改端口的情况下,直接引出子模块的信号(在顶层tb文件中使用):在顶层tb中添加语句,直接引出需要的子模块信号‘r8t1_top.align.squ_add_en’。但是在模块中用的时候时候会报错“verilog 2000 keyword endmodule used in incorrect context”‘syntax error near end module’查了很多论坛,没有找到解决方法,请教一下大家 zzz.png 2022_2_23.png

最佳答案

查看完整内容

你代码里直接写这么一行没有意义啊,比如你要把这个信号连给另一个模块,那么可以通过这种方式把信号直接引出,避免一层层穿出,然后连给另一个模块的A端口,即 u u_inst ( .A(xx.xx.en) );
发表于 2022-2-23 17:16:09 | 显示全部楼层


TUJzzz 发表于 2022-2-23 17:47
尝试修改,发现报错还是跟原来一样


你代码里直接写这么一行没有意义啊,比如你要把这个信号连给另一个模块,那么可以通过这种方式把信号直接引出,避免一层层穿出,然后连给另一个模块的A端口,即
u  u_inst
(
.A(xx.xx.en)
);

发表于 2022-2-23 17:37:45 | 显示全部楼层
引用时align模块要用实例化的名字
 楼主| 发表于 2022-2-23 17:42:55 | 显示全部楼层


Love24 发表于 2022-2-23 17:37
引用时align模块要用实例化的名字


谢谢大佬,用的名称关联例化,模块名和例化名都是align,这样会导致语句无法识别正确的例化模块吗?我去修改一下程序,试试
 楼主| 发表于 2022-2-23 17:47:02 | 显示全部楼层


Love24 发表于 2022-2-23 17:37
引用时align模块要用实例化的名字


尝试修改,发现报错还是跟原来一样
 楼主| 发表于 2022-2-23 18:11:29 | 显示全部楼层


Love24 发表于 2022-2-23 17:53
你代码里直接写这么一行没有意义啊,比如你要把这个信号连给另一个模块,那么可以通过这种方式把信号直接 ...


是的,这个方法可行,但是我不需要这个信号发往其他设计模块,他的作用仅仅是为了跟matlab联合仿真比对结果,只在顶层测试文件中需要。可以参照这个百度问题 aaa.png
 楼主| 发表于 2022-2-23 20:42:01 | 显示全部楼层
破案了,挨了老总一顿臭骂,需要现在顶层文件中先定义好变量比如,wire squ_add_en;,然后在顶层TB里按格式,将子模块的数据赋给assign squ_add_en=r8t1_top.align.squ_add_en; asda.png
 楼主| 发表于 2022-2-23 20:51:44 | 显示全部楼层


Love24 发表于 2022-2-23 17:53
你代码里直接写这么一行没有意义啊,比如你要把这个信号连给另一个模块,那么可以通过这种方式把信号直接 ...


感谢大佬,后知后觉明白了你的意思,问题已经解决
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-9 18:42 , Processed in 0.025525 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表