在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4776|回复: 13

[求助] Verdi看波形

[复制链接]
发表于 2021-12-8 20:48:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问大神们 VCS仿真的时候,可不可以在不暂停的情况下使用verdi看波形,VCS边仿真 verdi 边reload波形
 楼主| 发表于 2021-12-9 15:21:39 | 显示全部楼层
试了一下,是需要先将vcs 暂停一下,然后打开波形,vcs再继续仿真的时候,就可以reload新的波形了。
发表于 2021-12-9 10:14:24 | 显示全部楼层
本帖最后由 becky9411 于 2021-12-9 10:39 编辑

在Makefile仿真那一段加个-verdi?
发表于 2021-12-9 11:05:30 | 显示全部楼层
vcs后台跑。verdi打开fsdb,然后shift+L 刷新
发表于 2021-12-9 11:22:27 | 显示全部楼层
可以的,本身就是这样的。VCS会每隔一段时间把波形写入到波形文件里面去,可以边仿真,边看波形,只不过reload波形需要手动去reload。
发表于 2021-12-9 11:41:57 | 显示全部楼层
好想法
发表于 2021-12-9 13:36:27 来自手机 | 显示全部楼层
你以为是simvision呢?时刻自动加载刷新波形
 楼主| 发表于 2021-12-9 14:30:30 | 显示全部楼层


saipolo 发表于 2021-12-9 13:36
你以为是simvision呢?时刻自动加载刷新波形


我之前试过,Verdi是可以边仿真边reload波形的。
 楼主| 发表于 2021-12-9 14:31:16 | 显示全部楼层


becky9411 发表于 2021-12-9 10:14
在Makefile仿真那一段加个-verdi?


-verdi是用于调试的
 楼主| 发表于 2021-12-9 14:32:40 | 显示全部楼层


li5panlong 发表于 2021-12-9 11:05
vcs后台跑。verdi打开fsdb,然后shift+L 刷新


我试过,发现波形出不来
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 05:20 , Processed in 0.025429 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表