在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1210|回复: 2

[求助] AMS中如何将Verilog描述的存储器的值读出来?

[复制链接]
发表于 2021-11-14 15:55:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
直接用reg二维阵列用来存储数据,如下

……
reg [18:0] RSLT_MEM[4095:0];
……

但是AMS仿真的时候调用这个verilog模块,似乎不方便把这个数据读出来。
因为如果展开成一维向量的话太长了,port也不支持二维的。
并且模块内部的reg也无法保存。


有什么方法能将这一块数据在仿真结束的时候读出来呢?


 楼主| 发表于 2021-11-16 09:50:35 | 显示全部楼层
有人知道如何读取出数据吗?
 楼主| 发表于 2021-11-18 15:09:36 | 显示全部楼层
应该暂时解决了。像 $fopen(), $fdisplay() 这样的 system tasks 在AMS环境中都可以用的。把数据写在文件中就可以了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-29 01:42 , Processed in 0.018149 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表