在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2243|回复: 5

[解决] vivado 综合卡住

[复制链接]
发表于 2021-11-12 10:35:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 fengbohan1 于 2021-11-17 14:11 编辑

之前综合一版大概需要3.5小时,后来 修改了代码。原来是两个pll, 现在改成了一个pll。
修改之后,综合就一直跑不完,最长的跑了两天。log 一直卡在start timing opt阶段。

我重新建立了一个工程,发现还是老样子。估计还是和代码有关。
大家有什么建议吗




  1. ---------------------------------------------------------------------------------
  2. Start Applying XDC Timing Constraints
  3. ---------------------------------------------------------------------------------
  4. ---------------------------------------------------------------------------------
  5. Finished Applying XDC Timing Constraints : Time (s): cpu = 03:19:50 ; elapsed = 03:26:37 . Memory (MB): peak = 13629.738 ; gain = 11136.480 ; free physical = 747 ; free virtual = 69851
  6. ---------------------------------------------------------------------------------
  7. ---------------------------------------------------------------------------------
  8. Start Timing Optimization
  9. ---------------------------------------------------------------------------------
  10. WARNING: [Synth 8-264] enable of latch sipmix_corei_86/gpc/\io_pvt_gate/latch_model/Q_reg  is always disabled
  11. WARNING: [Synth 8-264] enable of latch sipmix_corei_86/gpc/\io_pvt_gate/latch_model/Q_reg  is always disabled
  12. WARNING: [Synth 8-326] inferred exception to break timing loop: 'set_false_path -through sipmix_corei_86/gpc_dptc_ref_clk_out_lp'



复制代码


发表于 2021-11-12 13:45:00 | 显示全部楼层
enable of latch, break timing loop,为什么会有这些?
不该先清掉吗?
发表于 2021-11-12 19:35:43 | 显示全部楼层
综合3.5小时?
发表于 2021-11-15 08:55:53 | 显示全部楼层
遇到这种情况先检查下告警,确认下所有的告警是否都没问题,大部分的奇怪问题在告警中都有提示,比如你贴出来的latch告警
 楼主| 发表于 2021-11-17 14:11:36 | 显示全部楼层
问题解决了,我是做FPGA原型验证的,代码不是我控制的。我把其中一个模块用OOC的方式综合,就没有报错。
发表于 2022-3-1 20:51:29 | 显示全部楼层
thanks alot.
very helpful
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 23:11 , Processed in 0.021526 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表