在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: 小花321

[求助] assertion断言两个信号边沿之间延时的问题 已解决

[复制链接]
 楼主| 发表于 2021-11-2 16:16:11 | 显示全部楼层


A1985 发表于 2021-11-2 09:35
没有同步不同步,assert随便。。。用@(posedge sig1)(1,t1 = $time) |-> @(posedge sig2) (1,check_time ...


谢谢,你的方法给我提供了思路,问题已解决
发表于 2021-11-2 19:07:31 | 显示全部楼层
可以这样啊,学到了,涨知识了
发表于 2021-11-4 09:20:52 | 显示全部楼层


年轻的韭菜 发表于 2021-11-2 13:52
有多影响效率,你统计过吗?


以前有同事统计过,具体数据忘记了,当然也跟你的断言数量有关系。所以我干脆就不使用断言了,interface里面信号x态检查的断言除外。
发表于 2021-11-6 11:49:18 | 显示全部楼层
学到了
发表于 2021-11-8 14:27:15 | 显示全部楼层


ppeezz 发表于 2021-11-2 13:48
二楼说法是错误的,三楼是对的,sv 2012 版本的LRM对multi-clock有了很强的支持
具体代码如下:


学习了,谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 13:50 , Processed in 0.024706 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表