在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1834|回复: 4

[求助] 請教高手, P&R 之後 SDC 該如何設定

[复制链接]
发表于 2021-10-21 23:55:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 thjan65 于 2021-10-22 00:00 编辑

請問版上高手, CLOCK 配置如下.

可以麻煩幫忙看一下APR 後 某operation mode 之SDC 是否可以嗎. 感謝.
create_clock -name CLKHIGH -period 40 [get_ports u1/CLKHIGH]
create_clock -name CLKLOW -peiord 60 [get_ports u1/CLKSLOW]

set_case_analysis 0 [get_pins u5/S]
create_generated_clock -name div8 [get_pins u2/div8/Q] -source [get_pins u5/Z] -divide_by 8
create_generated_clock -name div16 [get_pins u2/div16/Q] -source [get_pins u5/Z] -divide_by 16

set_case_analysis 0 [get_pins u3/S]
create_generate_clock -name CLK1P5 [get_pin u3/out] -source [get_pins u3/I0] -divide_by 1

set_case_analysis 0 [get_pins u4/S]
set_case_analysis 0 [get_pins u6/S]
set_case_analysis 0 [get_pins u7/S]

create_generated_clock -name CLK_L [get_pin u7/Z] -source [get_pins u4/out] -divide_by1

黃色方塊為 dft mux.


20211019.PNG
发表于 2021-10-22 09:11:57 | 显示全部楼层
mark。

顺便,如果两个时钟都是function 必须的,应该不能设置set_case_analysis吧?
 楼主| 发表于 2021-10-22 23:05:52 | 显示全部楼层
本帖最后由 thjan65 于 2021-10-22 23:15 编辑


y23angchen 发表于 2021-10-22 09:11
mark。

顺便,如果两个时钟都是function 必须的,应该不能设置set_case_analysis吧? ...


div8/div16 會在Power on 後的300us 切換一次,之後維持穩定.
CLK1P5/CLKSLOW 會在Power on後的30ms切換一次, 之後維持穩定.
所以, 利用 set_case_analysis 將電路設定在 Power On , 30ms 之後的工作模式. 不知這樣對不對.
发表于 2021-10-25 14:21:26 | 显示全部楼层
应该没问题,报timing 看下clock path 是否沿正确的路径走就行。
发表于 2023-5-22 05:48:45 | 显示全部楼层
非常感谢你提供的信息。期待新品的到来
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-28 04:09 , Processed in 0.016664 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表