在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2732|回复: 4

[求助] 各位老哥有没有UVM比较完整的历程啊?满大街MCDF怕是写上直接成扣分项了

[复制链接]
发表于 2021-8-28 12:08:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
求几个比较完整的历程,多谢了!
发表于 2021-8-28 12:33:52 | 显示全部楼层
http://bbs.eetop.cn/thread-884594-1-1.html

里面是用Questa跑的,用vcs的话

filelist.f

../rtl/uart/uart_16550.sv
../rtl/uart/uart_register_file.sv
../rtl/uart/uart_rx.sv
../rtl/uart/uart_rx_fifo.sv
../rtl/uart/uart_tx.sv
../rtl/uart/uart_tx_fifo.sv
../agents/apb_agent/apb_if.sv
+incdir+../agents/apb_agent ../agents/apb_agent/apb_agent_pkg.sv
../agents/uart_agent/serial_if.sv
+incdir+../agents/uart_agent ../agents/uart_agent/uart_agent_pkg.sv
../agents/modem_agent/modem_if.sv
+incdir+../agents/modem_agent ../agents/modem_agent/modem_agent_pkg.sv
../uvm_tb/register_model/uart_reg_pkg.sv
+incdir+../uvm_tb/env ../uvm_tb/env/uart_env_pkg.sv
+incdir+../uvm_tb/sequences ../uvm_tb/sequences/host_if_seq_pkg.sv
+incdir+../uvm_tb/sequences ../uvm_tb/sequences/uart_seq_pkg.sv
+incdir+../uvm_tb/virtual_sequences ../uvm_tb/virtual_sequences/uart_vseq_pkg.sv
+incdir+../uvm_tb/tests ../uvm_tb/tests/uart_test_pkg.sv
../uvm_tb/tb/interrupt_if.sv
../protocol_monitor/apb_monitor.sv
../uvm_tb/tb/uart_tb.sv

makefile

all: comp sim_word_format_poll rpt_cg

comp:
        vcs -sverilog -full64 -top uart_tb -timescale=1ns/1ps -ntb_opts uvm-1.2 -f filelist.f -l comp.log -cm cond+line+fsm



sim_all: sim_word_format_poll sim_modem_poll sim_word_format_int sim_modem_int sim_baud_rate sim_uart_regs

sim_word_format_poll:
        ./simv   -cm cond+line+fsm -l word_format_poll_test.log +UVM_TESTNAME=word_format_poll_test -cm_name word_format_poll_test -cm_dir word_format_poll_test.vdb

sim_modem_poll:
        ./simv   -cm cond+line+fsm -l modem_poll_test.log +UVM_TESTNAME=modem_poll_test -cm_name modem_poll_test -cm_dir modem_poll_test.vdb

sim_word_format_int:
        ./simv   -cm cond+line+fsm -l word_format_int_test.log +UVM_TESTNAME=word_format_int_test -cm_name word_format_int_test -cm_dir word_format_int_test.vdb

sim_modem_int:
        ./simv   -cm cond+line+fsm -l modem_int_test.log +UVM_TESTNAME=modem_int_test -cm_name modem_int_test -cm_dir modem_int_test.vdb

sim_baud_rate:
        ./simv   -cm cond+line+fsm -l baud_rate_test.log +UVM_TESTNAME=baud_rate_test -cm_name baud_rate_test -cm_dir baud_rate_test.vdb

sim_rx_errors_int:
        ./simv   -cm cond+line+fsm -l rx_errors_int_test.log +UVM_TESTNAME=rx_errors_int_test -cm_name rx_errors_int_test -cm_dir rx_errors_int_test.vdb

sim_uart_regs:
        ./simv   -cm cond+line+fsm -l uart_test.log +UVM_TESTNAME=uart_test -cm_name uart_test -cm_dir uart_test.vdb

#        ./simv   -cm cond+line+fsm -l rx_errors_int_test.log +UVM_TESTNAME=rx_errors_int_test -cm_name rx_errors_int_test -cm_dir rx_errors_int_test.vdb

rpt_cg:
        urg -dir *.vdb -report cg_report
        @echo "coverage report generated in ./cg_report"

verdi_cg:
        verdi -cov -covdir *.vdb/ &

tarball: clean tgz

tgz:
        @(cd ../..; \
        tar -zcf uart_example/sim/uart_example.tgz \
        uart_example/agents \
        uart_example/docs \
        uart_example/protocol_monitor \
        uart_example/rtl \
        uart_example/uvm_tb \
        uart_example/README \
        uart_example/sim/Makefile \
        uart_example/sim/filelist.f
        )

发表于 2021-11-2 16:06:15 | 显示全部楼层
可以去下载mentor uvm cookbook对应的testbench code,很不错
发表于 2022-9-28 20:04:23 | 显示全部楼层
结果今年还是MCDF,去年就烂大街了,今年继续烂!!!
发表于 2023-3-29 19:58:29 | 显示全部楼层


saipolo 发表于 2022-9-28 20:04
结果今年还是MCDF,去年就烂大街了,今年继续烂!!!


行走的500w
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 09:28 , Processed in 0.016668 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表