在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2828|回复: 4

[求助] VCS编译时uvm_sequence_item报错

[复制链接]
发表于 2021-7-25 11:22:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
1.JPG
之前在顶层遇到uvm_config_db类似问题,是漏了"import uvm_pkg::*",是因为其在顶层中,但是这次在uvm_sequence_item,用同样的办法解决不了,而且似乎uvm_sequence_item也没有"import uvm_pkg::*"这种用法啊,也没有拼写错误,所以不知道该怎么解决,求助各位大佬,非常感谢!!(顺便再问一个问题:包中是不是不能import另外一个包呀?)
发表于 2021-7-26 15:46:09 | 显示全部楼层
1. package中可以import另外一个package;
2. 如果你的sequence_item.sv是放在一个package中,那么在include sequence_item的package前面加上import uvm_pkg::*
 楼主| 发表于 2021-7-26 20:09:55 | 显示全部楼层


cwang123 发表于 2021-7-26 15:46
1. package中可以import另外一个package;
2. 如果你的sequence_item.sv是放在一个package中,那么在includ ...


1.JPG
您好,我就是这么做的,但是还是包报这个错


发表于 2021-12-9 09:36:04 | 显示全部楼层
楼主解决了嘛?
发表于 2022-7-14 23:13:21 | 显示全部楼层
调整一下include的顺序
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 00:37 , Processed in 0.021378 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表