在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: love-asic

AHB总线下的slave ram的verilog代码

[复制链接]
发表于 2009-10-1 10:53:04 | 显示全部楼层
好。。谢谢啊
发表于 2009-10-2 23:01:21 | 显示全部楼层
了解一下  谢了
发表于 2009-10-26 21:28:31 | 显示全部楼层
好东西,多谢
发表于 2009-11-17 19:00:13 | 显示全部楼层
谢谢LZ................
发表于 2009-11-18 14:41:35 | 显示全部楼层
多谢楼主看看了。
发表于 2009-11-18 16:19:15 | 显示全部楼层
下了看了。
有个问题请教下,貌似这个slave的hready返回只有在被sel并且有有效操作的情况下才会被拉高,平时都是拉低的,那不是这个slave一直会被hold住么?应该根本没法用阿
发表于 2009-12-21 22:21:10 | 显示全部楼层
谢谢楼主
发表于 2009-12-22 08:57:33 | 显示全部楼层
ding,,,
发表于 2010-1-3 22:47:16 | 显示全部楼层
发表于 2010-3-8 14:47:22 | 显示全部楼层
下下来看看 多谢分享
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-17 18:10 , Processed in 0.035015 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表