在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1577|回复: 3

[转贴] 同步复位、异步复位

[复制链接]
发表于 2021-6-25 16:52:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
一、特点:
   同步复位:顾名思义,同步复位就是指复位信号只有在时钟上升沿到来时,才能有效。否则,无法完成对系统的复位工作。用verilog描述如下:
            always @ (posedge clk) begin
                  if (!Rst_n)
                    ...
            end
   异步复位:它是指无论时钟沿是否到来,只要复位信号有效,就对系统进行复位。用Verilog描述如下:
            always @ (posedge clk,negedge Rst_n) begin
                   if (!Rst_n)
                      ...
            end
二、各自的优缺点:
    1、总的来说,同步复位的优点大概有3条:
       a、有利于仿真器的仿真。
       b、可以使所设计的系统成为100%的同步时序电路,这便大大有利于时序分析,而且综合出来的fmax一般较高。
       c、因为他只有在时钟有效电平到来时才有效,所以可以滤除高于时钟频率的毛刺。
       他的缺点也有不少,主要有以下几条:
       a、复位信号的有效时长必须大于时钟周期,才能真正被系统识别并完成复位任务。同时还要考虑,诸如:clk skew,组合逻辑路径延时,复位延时等因素。
       b、由于大多数的逻辑器件的目标库内的DFF都只有异步复位端口,所以,倘若采用同步复位的话,综合器就会在寄存器的数据输入端口插入组合逻辑,这样就会耗费较多的逻辑资源。
    2、对于异步复位来说,他的优点也有三条,都是相对应的:
       a、大多数目标器件库的dff都有异步复位端口,因此采用异步复位可以节省资源。
       b、设计相对简单。
       c、异步复位信号识别方便,而且可以很方便的使用FPGA的全局复位端口GSR。
       缺点:
       a、在复位信号释放(release)的时候容易出现问题。具体就是说:倘若复位释放时恰恰在时钟有效沿附近,就很容易使寄存器输出出现亚稳态,从而导致亚稳态。
       b、复位信号容易受到毛刺的影响。


转:https://blog.csdn.net/wordwarwordwar/article/details/74091757
发表于 2021-6-25 18:07:43 | 显示全部楼层
支持一波
发表于 2021-6-28 18:22:14 | 显示全部楼层
学习了
发表于 2021-6-29 01:42:30 | 显示全部楼层
异步复位一般都是异步复位,同步释放来保证。
也就是说异步复位也是同步电路,现在的设计基本上都是用相同的clock去锁的。
但是连接的确实dff的异步端。
而且异步复位大部分都是直接从pad过来,pad上一般都是会加电容来滤毛刺。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 14:04 , Processed in 0.027956 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表