在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3197|回复: 5

dvt_kit-21.1.14-e418-win_64试验了一把,发现...

[复制链接]
发表于 2021-4-19 18:09:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 randolpha 于 2021-4-22 17:24 编辑

从论坛下了dvt_kit-21.1.14-e418-win_64试验了一把.生成example例子工程uvm-1.2_ubus
vlog -timescale 1ns/1ns -suppress 2181 "+acc" -writetoplevels questa.tops -mfcu -incr -f C:\Users\Administrator\dvt_predefined_projects_target\uvm-1.2_ubus/.dvt/default.build -work C:\Users\Administrator\dvt_predefined_projects_target\uvm-1.2_ubus/work -O0
** Note: (vlog-2286) sv/ubus_pkg.sv(27): Using implicit +incdir+D:/questasim64_2020.1/uvm-1.1d/../verilog_src/uvm-1.1d/src from import uvm_pkg
-- Compiling package ubus_pkg
-- Compiling module dut_dummy
-- Compiling interface ubus_if
** Error: examples/ubus_tb_top.sv(54): (vlog-2294) The 'var' keyword is missing.
** Error: (vlog-13069) examples/ubus_tb_top.sv(54): near "cs_": syntax error, unexpected IDENTIFIER, expecting ';' or ','.
** Error: examples/ubus_tb_top.sv(54): (vlog-2164) Class or package 'uvm_coreservice_t' not found.
End time: 17:50:26 on Apr 19,2021, Elapsed time: 0:00:01
Errors: 3, Warnings: 0


如何消除Using implicit +incdir+? 如何指向正确的目录.正确的应该是指向$DVT_HOME\dvt_eclipse\predefined_projects\libs\uvm-1.2\src目录.


谢谢
 楼主| 发表于 2021-4-19 18:43:32 | 显示全部楼层
指向了uvm-1.2\src后问题更多了?why???


vlog -timescale 1ns/1ns -suppress 2181 "+acc" -writetoplevels questa.tops -mfcu -incr -f C:\Users\Administrator\dvt_predefined_projects_target\uvm-1.2_ubus/.dvt/default.build -work C:\Users\Administrator\dvt_predefined_projects_target\uvm-1.2_ubus/work -O0 "+incdir+C:\Users\Administrator\dvt_predefined_projects_target\uvm-1.2_ubus\uvm-1.2\src"
** Error: (vlog-13069) ** while parsing file included at examples/ubus_tb_top.sv(24)
** while parsing file included at sv/ubus_pkg.sv(32)
** while parsing macro expansion: 'uvm_field_enum' starting at sv/ubus_transfer.sv(67)
** at sv/ubus_transfer.sv(67): near "#": syntax error, unexpected '#', expecting "SystemVerilog keyword 'with'" or with.
** Error: (vlog-13069) ** while parsing file included at examples/ubus_tb_top.sv(24)
** while parsing file included at sv/ubus_pkg.sv(44)
** while parsing macro expansion: 'uvm_field_enum' starting at sv/ubus_bus_monitor.sv(83)
** at sv/ubus_bus_monitor.sv(83): near "#": syntax error, unexpected '#', expecting "SystemVerilog keyword 'with'" or with.
-- Compiling module dut_dummy
-- Compiling interface ubus_if
** Error: examples/ubus_tb_top.sv(31): (vlog-13006) Could not find the package (ubus_pkg).  Design read will continue, but expect a cascade of errors after this failure.  Furthermore if you experience a vopt-7 error immediately before this error then please check the package names or the library search paths on the command line.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(22)
** at examples/ubus_example_scoreboard.sv(30): (vlog-2730) Undefined variable: 'ubus_transfer'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(22)
** at examples/ubus_example_scoreboard.sv(59): (vlog-2400) Identifier ('ubus_transfer') found where a type or type identifier is required.
** Error: (vlog-13069) ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(22)
** at examples/ubus_example_scoreboard.sv(65): near "trans": syntax error, unexpected IDENTIFIER, expecting ')'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(23)
** at sv/ubus_master_seq_lib.sv(31): (vlog-2730) Undefined variable: 'ubus_transfer'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(25)
** at sv/ubus_slave_seq_lib.sv(28): (vlog-2730) Undefined variable: 'ubus_transfer'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(25)
** at sv/ubus_slave_seq_lib.sv(29): Invalid type 'ubus_slave_sequencer'. Please check the type of the variable 'p_sequencer'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(25)
** at sv/ubus_slave_seq_lib.sv(39): Invalid type 'ubus_transfer'. Please check the type of the variable 'util_transfer'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(25)
** at sv/ubus_slave_seq_lib.sv(70): (vlog-2730) Undefined variable: 'ubus_transfer'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(25)
** while parsing macro expansion: 'uvm_declare_p_sequencer' starting at sv/ubus_slave_seq_lib.sv(79)
** at sv/ubus_slave_seq_lib.sv(79): Invalid type 'ubus_slave_sequencer'. Please check the type of the variable 'p_sequencer'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(25)
** at sv/ubus_slave_seq_lib.sv(81): Invalid type 'ubus_transfer'. Please check the type of the variable 'util_transfer'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(25)
** at sv/ubus_slave_seq_lib.sv(98): (vlog-2730) Undefined variable: 'READ'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(25)
** at sv/ubus_slave_seq_lib.sv(109): (vlog-2730) Undefined variable: 'WRITE'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(25)
** at sv/ubus_slave_seq_lib.sv(122): (vlog-2164) Class or package 'ubus_transfer' not found.
** Error: (vlog-13069) ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** while parsing file included at examples/ubus_example_tb.sv(25)
** at sv/ubus_slave_seq_lib.sv(122): near "::": syntax error, unexpected ::, expecting ')'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** at examples/ubus_example_tb.sv(40): Invalid type 'ubus_env'. Please check the type of the variable 'ubus0'.
** Error: ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** at examples/ubus_example_tb.sv(58): (vlog-2164) Class or package 'ubus_env' not found.
** Error: (vlog-13069) ** while parsing file included at examples/ubus_tb_top.sv(32)
** while parsing file included at examples/test_lib.sv(22)
** at examples/ubus_example_tb.sv(58): near "::": syntax error, unexpected ::, expecting ';'.
** Error: examples/ubus_tb_top.sv(54): (vlog-2294) The 'var' keyword is missing.
** Error: (vlog-13069) examples/ubus_tb_top.sv(54): near "cs_": syntax error, unexpected IDENTIFIER, expecting ';' or ','.
** Error: examples/ubus_tb_top.sv(54): (vlog-2164) Class or package 'uvm_coreservice_t' not found.
End time: 18:12:15 on Apr 19,2021, Elapsed time: 0:00:01
Errors: 23, Warnings: 0

 楼主| 发表于 2021-4-22 16:47:05 | 显示全部楼层
这个问题坛里有高手解决了吗?
发表于 2021-4-22 18:44:12 | 显示全部楼层
发表于 2023-6-19 12:22:54 | 显示全部楼层
thanks
发表于 2023-6-22 16:37:45 | 显示全部楼层
多谢分享
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 01:04 , Processed in 0.027233 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表