在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1410|回复: 4

[求助] 变频寄存器 约束问题

[复制链接]
发表于 2021-3-19 21:09:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 fate_szs 于 2021-3-19 21:09 编辑

       首先,模块A内的组合逻辑延迟大,需工作在低频时钟下。模块B的组合逻辑延迟小,要求工作在高频时钟下(低频和高频时钟处在同一个时钟域)。
       然后,A和B模块,在不同时间段控制寄存器组R(分时复用),这就要求寄存器组R在A控制时工作在低频下,在B控制时工作在高频时钟下。
image.png

各位大佬们问题来了:这个可变频的寄存器组R,到底能不能实现?如果能实现,时序分析和约束如何做?

       目前我的各项仿真波形结果正确,但是时序分析时错误,似乎寄存器组R一直按高频时钟分析,导致在组合逻辑延迟大的时候(此时应低频时钟)仍然用了高频时钟周期,所以slack为负数。(vivado环境下只对时钟约束了clk_high和clk_low,二者同源)

发表于 2021-3-19 22:12:59 | 显示全部楼层
如果是XILINX器件的话,寄存器R组的时钟用BUFGMUX输出,A控制时,输出clk_high,B控制时输出clk_low,同时A和B的控制信号做好跨时钟域处理,这样应该没啥问题的
发表于 2021-3-19 22:14:18 | 显示全部楼层
试试在clk MUX后,用2次create_generate_clock
 楼主| 发表于 2021-3-20 04:48:46 | 显示全部楼层


黑桃ACE 发表于 2021-3-19 22:12
如果是XILINX器件的话,寄存器R组的时钟用BUFGMUX输出,A控制时,输出clk_high,B控制时输出clk_low,同时A ...


您好!感谢您的回复!!      我使用了BUFGMUX,并且时钟设置了分组约束(set_clock_groups),没有出现clk_high和clk_low时钟交叉的违例。  
      但是!在单独clk_high时序分析仍然会出现违例,因为vivado使用时钟clk_high在分析寄存器组R时,将高延迟电路(A)也进行了时序分析(大概如下图),但是该情况在实际工作或仿真时不会发生,因为高频工作时走的低延迟电路(A模块)!
      所以,请问,有没有办法解决?
image.png
实际工作时下面这些路径只会出现在周期30纳秒的低频下!

image.png
发表于 2021-3-20 22:47:07 | 显示全部楼层


fate_szs 发表于 2021-3-20 04:48
您好!感谢您的回复!!      我使用了BUFGMUX,并且时钟设置了分组约束(set_clock_groups),没有出现c ...


你这是啥啊,逻辑级数居然能达到七十几,先把逻辑级数降下来吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 22:41 , Processed in 0.023086 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表