在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: 569812499

[求助] 求助!verdi仿真产生波形报错

[复制链接]
 楼主| 发表于 2021-3-11 15:07:04 | 显示全部楼层


anpengfei 发表于 2021-3-10 09:41
编译指令加-P /home/liufeiran/synopsys/verdi/share/PLI/VCS/LINUX64/novas.tab   /home/liufeiran/synops ...


image.png 加了这个还是不行啊。
image.png 就出现这个错误了
发表于 2021-3-11 15:32:48 | 显示全部楼层
上面-DVCS后面加个空格和\,你看下上面几行最后都有" \",\表示指令连接下一行
 楼主| 发表于 2021-3-11 15:53:39 | 显示全部楼层


anpengfei 发表于 2021-3-11 15:32
上面-DVCS后面加个空格和\,你看下上面几行最后都有" \",\表示指令连接下一行 ...


感谢大佬这么耐心!没想到是这种小错误。。
非常感谢!!
发表于 2021-3-12 19:08:57 | 显示全部楼层


569812499 发表于 2021-3-11 15:05
加了这个还是不行啊。。

Error- Undefined System Task Call


设置NOVAS_HOME或者VERDI_HOME环境变量,对于低版本的vcs如vcs2012.09,使用-debug_pp -fsdb_old,高版本的vcs如vcs2016/2018等,使用debug_acc+pp+fsdb。都不用指定pli.tab表文件和pli编译后的文件(就是-P xxx.tab xxx.a那一长串)
发表于 2022-5-17 17:35:50 | 显示全部楼层


saipolo 发表于 2021-3-12 19:08
设置NOVAS_HOME或者VERDI_HOME环境变量,对于低版本的vcs如vcs2012.09,使用-debug_pp -fsdb_old,高版本 ...


你好,能具体说下环境变量添加问题么,我使用的是vcs2016,直接使用-debug_acc+pp+fsdb 还是会出现无法识别$fsdb相关函数。谢谢!!!
发表于 2022-5-17 17:42:46 | 显示全部楼层


saipolo 发表于 2021-3-12 19:08
设置NOVAS_HOME或者VERDI_HOME环境变量,对于低版本的vcs如vcs2012.09,使用-debug_pp -fsdb_old,高版本 ...


你好,我是2016版vcs,使用了-dubug_acc+pp+fsdb 还是会出现$fsdb相关函数没有定义。请问这个需要怎么处理下吗? 谢谢啦
发表于 2022-6-4 23:50:20 | 显示全部楼层
你好 我跟你出现了一样的问题 请问解决了吗
发表于 2022-12-8 10:14:33 | 显示全部楼层


charles轩 发表于 2022-5-17 17:42
你好,我是2016版vcs,使用了-dubug_acc+pp+fsdb 还是会出现$fsdb相关函数没有定义。请问这个需要怎么处 ...


我是2018版本 按了楼上那么处理,还是报错 请问您解决了吗

发表于 2022-12-29 19:41:54 | 显示全部楼层


569812499 发表于 2021-3-11 15:05
加了这个还是不行啊。。

Error- Undefined System Task Call


你把仿真和编译的log贴出来,只要第一页的

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 15:33 , Processed in 0.021016 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表