在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2476|回复: 3

[求助] 问starting_phase是如何得到一个phase的

[复制链接]
发表于 2020-12-25 17:01:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
seq是不是component 没有phase概念 要raise_objection 需要将当前phase赋值给starting_phase

感觉这个过程是uvm完成的 ,
但是查看相关代码
看到了uvm_task_phase 里边的fuction
virtual function void traverse(uvm_component comp,
                                 uvm_phase phase,
                                 uvm_phase_state state);

function void m_traverse(uvm_component comp,
                           uvm_phase phase,
                           uvm_phase_state state);

virtual function void execute(uvm_component comp,
                                          uvm_phase phase);

seqr.start_phase_sequence(phase);
会将完成赋值过程  但是整个流程还是不太明白  求大神讲解
发表于 2020-12-25 17:26:11 | 显示全部楼层
只有通过default_sequence启动,那个starting_phase才不是null。seq挂载在seqr上,所以用的是seqr里传入的phase。你可以看看这个帖子,https://blog.csdn.net/Holden_Liu/article/details/111387721 理一下phase机制或者看看张强的uvm1.1源码指南。
发表于 2020-12-25 18:16:25 | 显示全部楼层
 楼主| 发表于 2020-12-26 16:20:04 | 显示全部楼层


年轻的韭菜 发表于 2020-12-25 17:26
只有通过default_sequence启动,那个starting_phase才不是null。seq挂载在seqr上,所以用的是seqr里传入的p ...


如果通过seq.start(sqr)这个方式呢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 21:13 , Processed in 0.014602 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表