在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2189|回复: 5

[求助] UVM寄存器模型能否对寄存器中的某个域单独操作

[复制链接]
发表于 2020-11-10 21:58:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
使用UVM寄存器模型中的write函数只能对整个寄存器整体操作,有没有办法只对其中某个域进行操作
发表于 2020-11-11 10:25:53 | 显示全部楼层
set和update配合操作。
set修改域的期望值,update比较寄存器期望值和实际值,若不同则修改硬件实际值。
 楼主| 发表于 2020-11-11 14:08:16 | 显示全部楼层


chip_z 发表于 2020-11-11 10:25
set和update配合操作。
set修改域的期望值,update比较寄存器期望值和实际值,若不同则修改硬件实际值。 ...


if I want to read the register filed, what method could I used?  I see the regmode task it has get(), but actually the get method is just read the model value not the DUT value
发表于 2020-11-11 18:17:02 | 显示全部楼层
读取DUT的值,不是有read()吗?
发表于 2020-11-12 10:11:30 | 显示全部楼层
二楼说错了吧,set设置期望值,和镜像值作比较,而不是实际值。所以你set,然后update不一定会实际产生写寄存器的行为,可以先read下更新镜像值(如果镜像值改变了,且使用auto_predict方式),然后再set,update.
https://blog.csdn.net/Holden_Liu/article/details/108993707
发表于 2020-11-12 14:17:47 | 显示全部楼层
本帖最后由 张崇钰 于 2020-11-12 14:18 编辑


litengmu64 发表于 2020-11-11 14:08
if I want to read the register filed, what method could I used?  I see the regmode task it has get ...


read the DUT value by task mirror(), now the value of the register model is consistent with the design value, then you can get the field value of register model by task get()
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 19:00 , Processed in 0.022298 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表