在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 空白MAX

[资料] mentor的uart uvm example

[复制链接]
发表于 2021-3-5 15:54:57 | 显示全部楼层
牛逼
发表于 2021-3-5 16:50:13 | 显示全部楼层
感谢分享
发表于 2021-3-8 20:40:58 | 显示全部楼层
good to study
发表于 2021-3-12 10:39:23 | 显示全部楼层
请教一个问题,我 用vcs编译代码,跑 baud_rate_test, 为啥 baud_rate_test_seq 运行到 rm.DIV2.write() 之后 没有往下执行呢? 但是仿真时间还是往前走了。。。很奇怪
发表于 2021-3-23 10:59:20 | 显示全部楼层
支持楼主,谢谢分享
发表于 2021-3-25 16:27:43 | 显示全部楼层
look look
发表于 2021-3-31 20:05:45 | 显示全部楼层
感谢分享
发表于 2021-3-31 20:14:12 | 显示全部楼层
thanks
发表于 2021-4-1 00:51:03 | 显示全部楼层
很实用,谢谢分享
发表于 2021-4-1 13:35:31 | 显示全部楼层
感谢分享
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 18:29 , Processed in 0.026046 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表