在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2226|回复: 4

[求助] vcs coverage test status问题

[复制链接]
发表于 2020-8-10 18:27:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 fimwest 于 2020-8-11 15:54 编辑

有人知道使用urg产生coverage report里面test status是怎么判断pass、 fail、 warn、 assert还是unknown的吗?我的状态都是unknown,不知道怎么判断成pass
发表于 2020-8-11 19:47:25 | 显示全部楼层
一般是通过LOG里面的关键字来的,你看看是不是你的用例都没有正常结束?或者看看你的LOG里面有没有PASS/FAIL等关键字。
 楼主| 发表于 2020-8-12 10:29:34 | 显示全部楼层


gaurson 发表于 2020-8-11 19:47
一般是通过LOG里面的关键字来的,你看看是不是你的用例都没有正常结束?或者看看你的LOG里面有没有PASS/FAI ...


我的用例都是用$finish结束的,log里面也有打印pass
发表于 2021-4-12 14:13:29 | 显示全部楼层
楼主找到答案了吗,我也研究了很久没研究出来,log里打关键字的方法我也试过了
发表于 2021-12-5 17:34:18 | 显示全部楼层
去s家的帮助下面搜一下 cm_post你就知道怎么用了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-30 22:28 , Processed in 0.020647 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表