在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: i_g

[求助] 有人用过Synopsys Embedit Integrator吗?

[复制链接]
发表于 2023-10-18 11:33:03 | 显示全部楼层


ggccll77 发表于 2022-1-19 20:21
mem 结构类似这样,添加lib要选v-comp开头这个,选解压出来的内部的文件夹就不识别 ...



你好,请问一下,我这一直显示reading file, 好久窗口没动了,这样正常吗?



微信图片_20231018113017.png
发表于 2024-3-7 20:00:07 | 显示全部楼层
请问你们生成出来由LEF文件吗?我生成的mem文件中没有LEF文件。。。
发表于 2024-4-10 20:29:44 | 显示全部楼层


i_g 发表于 2022-3-25 00:34
要选择库的上一层目录,我试了好久才发现


正解,破案了
发表于 6 天前 | 显示全部楼层


tvs 发表于 2023-5-12 16:11
调用库的时候报错,编译器未授权,是不是license得问题?谢谢!


求授权License 方法,有偿
发表于 5 天前 | 显示全部楼层
Synopsys的license里面包含这个工具的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-29 02:52 , Processed in 0.021044 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表