在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1722|回复: 3

[求助] DC综合,时钟源加入PAD之后延迟很大

[复制链接]
发表于 2020-1-2 20:24:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
DC综合,时钟源加入PAD之后延迟很大,删除PAD之后又恢复正常,求助该怎么约束啊。

 楼主| 发表于 2020-1-2 20:25:29 | 显示全部楼层
如图

PAD

PAD

时许报告

时许报告
发表于 2020-1-2 21:08:33 | 显示全部楼层
交付的时候有要求就优化一下, 没要求就留给CTS的时候做
 楼主| 发表于 2020-1-2 21:31:26 | 显示全部楼层

查看了net,发现clk_i1负载很大,设置了set_ideal_netnetwork),set_dont_touch(network)

继续设置高扇出的选项,

high_fanout_net_threshold,这个变量是用来指出,如果net的扇出个数超过指定值,那么他就是高扇出,同时drc检查,还有延迟计算都是这个数值计算,但是时间上net上的扇出是没有变的。

high_fanout_net_pin_capacitance,结合high_fanout_net_threshold使用的,当net的扇出超过threshold,那么net上的负载等于这2个数值的乘积。
nets.png
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 13:15 , Processed in 0.017149 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表