在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2682|回复: 10

[求助] modelsim使用求助

[复制链接]
发表于 2019-12-23 14:07:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
问题描述:modelsim 添加信号到wave窗口,有没有什么操作是可以一次导入设计所有的信号到wave窗口,仿真一次缓存所有数据呢?
这样是debug时不需要每次添加信号都需要重新跑一次仿真以加载波形。
手动添加模块以及中间变量太多,太累了。
发表于 2019-12-23 15:42:18 | 显示全部楼层
保存波形配置,然后load。
 楼主| 发表于 2019-12-24 16:30:24 | 显示全部楼层


A1985 发表于 2019-12-23 15:42
保存波形配置,然后load。


我知道波形配置.do,我遇到问题是我想生成所有整个设计的所有信号的波形配置.do  这个.do要包括所有的信号port reg wire 变量等等,模块太多自己去添加太累了而且怕不全
发表于 2019-12-24 17:42:00 | 显示全部楼层
用脚本刷出所有sig层次,加到do里面。。
 楼主| 发表于 2019-12-24 17:50:32 | 显示全部楼层


A1985 发表于 2019-12-24 17:42
用脚本刷出所有sig层次,加到do里面。。


怎么操作呢,help help ,
大神,你举个例子,这个脚本命令怎么写才能生成所有所有层次的sig并导入生成.do呢

发表于 2019-12-25 20:26:50 | 显示全部楼层
建議直接使用fsdb.

initial
   begin
      $fdsbDumpfile("1.fsdb"
       $fsdbDumpvars(0, test_bench);
   end
发表于 2020-2-5 00:22:36 | 显示全部楼层
log -r /*
就可以保存所有信号的波形,仿真完再看
发表于 2020-2-5 13:09:34 | 显示全部楼层
可以考虑通过编写TCL脚本的形式 将所需要添加的变量的波形添加在TCL脚本中 强烈推荐通过脚本的方式调用MODELSIM  
发表于 2020-2-7 09:43:59 | 显示全部楼层
学习了。
发表于 2020-2-15 20:58:47 | 显示全部楼层
add wave -r /*
可以添加设计中所有层级的波形文件
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 23:26 , Processed in 0.027540 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表