在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3289|回复: 2

[求助] 使用modelsim仿真vivado的浮点运算ip报错

[复制链接]
发表于 2019-6-26 16:56:10 | 显示全部楼层 |阅读模式
50资产
小弟我最近在使用modelsim单独做仿真。但是需要调用VIVADO的IP。于是小弟就按网上的教程将VIVADO的所有IP library编译并放到了modelsim路径下。并把所有的Library的路径添加到了modelsim.ini文件中。完成这些工作以后。我在vivado中customize一个fifo_generator.起名为fifo_128x32.然后我在对应ip的路径下找到/sim/fifo_128x32.v文件并把他添加到modelsim工程中。仿真可以顺利进行。但是采用相同方法仿真一个浮点计算IP时。比如我customize时起名fp_sub.然后我发现对应IP的/sim路径下是一个fp_sub.vhd文件。然后我将这个fp_sub.vhd添加到modelsim中,结果编译时就报错。报错信息如下:



  1. ** Error: (vcom-11) Could not find floating_point_v7_1_5.floating_point_v7_1_5.
  2. ** Error (suppressible): D:/study/modelsim_prj/fifo_test/fp_sub.vhd(57): (vcom-1195) Cannot find expanded name "floating_point_v7_1_5.floating_point_v7_1_5".
  3. ** Note: D:/study/modelsim_prj/fifo_test/fp_sub.vhd(59): Vhdl Compiler exiting


复制代码
如下图所示,我已经将floating_point_v7_1_5这个library添加到了modelsim中






fp.vhd的开头内容如下:




  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.ALL;
  3. USE ieee.numeric_std.ALL;

  4. LIBRARY floating_point_v7_1_5;
  5. USE floating_point_v7_1_5.floating_point_v7_1_5;

  6. ENTITY fp_sub IS
  7.   PORT (
  8.     aclk : IN STD_LOGIC;
  9.     s_axis_a_tvalid : IN STD_LOGIC;
  10.     s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
  11.     s_axis_b_tvalid : IN STD_LOGIC;
  12.     s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
  13.     m_axis_result_tvalid : OUT STD_LOGIC;
  14.     m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
  15.   );
  16. END fp_sub;
  17. .......


复制代码


 楼主| 发表于 2019-6-26 16:58:11 | 显示全部楼层
报错信息提示的fp_sub.vhd的第57行就是我附上代码的第6行
发表于 2019-6-30 23:14:21 | 显示全部楼层
可以用VIVADO 中集成modelsim仿真试一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 18:59 , Processed in 0.018111 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表