在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1451|回复: 2

[原创] modelsim win7 运行 UVM

[复制链接]
发表于 2019-6-12 22:03:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
1) 创建一个文件run.tcl 内容如下

#UVM verilog source HOME
set UVM_HOME     D:/modelsim/verilog_src/uvm-1.1d
#UVM DPI Home
set UVM_DPI_HOME D:/modelsim/uvm-1.1d/win64
vlib     work
vlog -sv +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF  hello.v
vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello
run 100

2)创建一个hello.v 文件, 内容如下:
`include "uvm_pkg.sv"
module hello (/*AUTOARG*/);
   import uvm_pkg::*;
   `include "uvm_macros.svh"
   initial begin
      `uvm_info("inf1","UVM Hello",UVM_LOW);   
   end
endmodule: hello

3) 创建run.bat 内容如下:
echo
modelsim -do run.tcl
4 ) 双击run.bat
# Loading D:/modelsim/uvm-1.1d/win64/uvm_dpi.dll
# ----------------------------------------------------------------
# UVM-1.1d
# (C) 2007-2013 Mentor Graphics Corporation
# (C) 2007-2013 Cadence Design Systems, Inc.
# (C) 2006-2013 Synopsys, Inc.
# (C) 2011-2013 Cypress Semiconductor Corp.
# ----------------------------------------------------------------
#
#   ***********       IMPORTANT RELEASE NOTES         ************
#
#   You are using a version of the UVM library that has been compiled
#   with `UVM_NO_DEPRECATED undefined.
#   See http://www.eda.org/svdb/view.php?id=3313 for more details.
#
#   You are using a version of the UVM library that has been compiled
#   with `UVM_OBJECT_MUST_HAVE_CONSTRUCTOR undefined.
#   See http://www.eda.org/svdb/view.php?id=3770 for more details.
#
#       (Specify +UVM_NO_RELNOTES to turn off this notice)
#
# UVM_INFO hello.v(15) @ 0: reporter [inf1] UVM Hello

第一个UVM 程序就运行完毕了~~~

发表于 2019-6-14 14:41:09 | 显示全部楼层
半点UVM特性没用到呀
发表于 2019-6-17 17:34:39 | 显示全部楼层
等待楼主后续帖子。。。。。。。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 13:21 , Processed in 0.016371 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表