|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
1) 创建一个文件run.tcl 内容如下
#UVM verilog source HOME
set UVM_HOME D:/modelsim/verilog_src/uvm-1.1d
#UVM DPI Home
set UVM_DPI_HOME D:/modelsim/uvm-1.1d/win64
vlib work
vlog -sv +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF hello.v
vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello
run 100
2)创建一个hello.v 文件, 内容如下:
`include "uvm_pkg.sv"
module hello (/*AUTOARG*/);
import uvm_pkg::*;
`include "uvm_macros.svh"
initial begin
`uvm_info("inf1","UVM Hello",UVM_LOW);
end
endmodule: hello
3) 创建run.bat 内容如下:
echo
modelsim -do run.tcl
4 ) 双击run.bat
# Loading D:/modelsim/uvm-1.1d/win64/uvm_dpi.dll
# ----------------------------------------------------------------
# UVM-1.1d
# (C) 2007-2013 Mentor Graphics Corporation
# (C) 2007-2013 Cadence Design Systems, Inc.
# (C) 2006-2013 Synopsys, Inc.
# (C) 2011-2013 Cypress Semiconductor Corp.
# ----------------------------------------------------------------
#
# *********** IMPORTANT RELEASE NOTES ************
#
# You are using a version of the UVM library that has been compiled
# with `UVM_NO_DEPRECATED undefined.
# See http://www.eda.org/svdb/view.php?id=3313 for more details.
#
# You are using a version of the UVM library that has been compiled
# with `UVM_OBJECT_MUST_HAVE_CONSTRUCTOR undefined.
# See http://www.eda.org/svdb/view.php?id=3770 for more details.
#
# (Specify +UVM_NO_RELNOTES to turn off this notice)
#
# UVM_INFO hello.v(15) @ 0: reporter [inf1] UVM Hello
第一个UVM 程序就运行完毕了~~~
|
|