在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1009|回复: 1

[求助] AMS 混合仿真中如何读取文本数据

[复制链接]
发表于 2019-5-27 23:06:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 ntuzxy 于 2019-5-28 10:20 编辑

背景:
一直是做Analog ic design (cadence)和FPGA design (ise,vivado),现在要做mix-signal ic design,刚接触AMS。
目前可以在cadence中仿真带简单激励的混合电路,比如直接由vdc,vpulse等电源提供激励或者由简单的testbench像always #5 信号翻转或累加提供时钟或计数器。
另外一点,因为一直做模拟习惯了GUI,所以verilog写的testbench我也是做成symbol放在顶层电路。

问题:
现在需要从text文本读取数据,处理后再写入文本。
写了一个testbench 用系统函数 $readmemb或者 $fscanf来读数据,在ise中仿真验证没问题,但是在cadence中做混仿读不到数据,simvision波形是x。
请问在cadence中做混仿,怎样读取文本数据?
先谢过各位。
 楼主| 发表于 2019-5-28 12:10:38 | 显示全部楼层
问题解决了,查看fopen返回值是0,怀疑是路径问题。
"~/sim_data/mem_data/0_initial_data_16x16.txt"这种路径找不到文件,可能无法识别家目录~
改成绝对路径就可以了
$readmemb或者 $fopen + $fscanf都可以
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 04:33 , Processed in 0.014937 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表