在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1916|回复: 7

[求助] 电路时序分析题

[复制链接]
发表于 2019-5-1 16:49:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 鞋盒中的月亮 于 2019-5-2 23:02 编辑

在此题中,需要你分析下面带反馈的数据通路。假设寄存器是边
沿触发,tclk-q, max = 4ns, tclk-q, min = 2ns, tsetup = 1ns, and
thold= 1ns:图附后。
(1)如果没有偏移和抖动,该系统的最大工作频率是多少?
(2)该系统能容忍的最大随机时钟偏差是多少?
(3)假设没有随机偏差,你能将时钟偏差引进系统内。如何设计时钟路径并添加偏差使系统性能最大化又不用牺牲功能?能实现这个目
的的最大工作频率是多少?当以最高速度运行时,系统能容忍的最大随机时钟抖动是多少?

对于第一问,我计算出来的是

T1 = tclk-q,max + tlogic,max1 + tsetup = 30ns;  

T2 = tclk-q,max + tlogic,max2 + tsetup = 25 ns;
所以 fmax = 1/30 =33.33 MHz;
(不知道这样计算是否正确)

对于第二问和第三问就不会做了,希望大佬指点一下。谢谢。



QQ图片20190501164217.png
发表于 2019-5-5 14:14:51 | 显示全部楼层
本帖最后由 rioshiina 于 2019-5-5 16:19 编辑

我修正一下计算结果;
(2)max skew=5
(3)clk skew=2.5, T=27.5; 这样reg1在t= 0 27.5 55 82.5 110 137.5...处收到时钟信号; reg2在t=2.5 30 57.5 85 112.5 140...处收到时钟信号; reg1-reg2周期+skew=30满足reg1到reg2的传输时间t1max=30ns, reg2-reg1周期-skew=25 满足t2max=25.

jitter仍然不会算       : (

 楼主| 发表于 2019-5-5 15:38:20 来自手机 | 显示全部楼层
本帖最后由 鞋盒中的月亮 于 2019-5-5 15:42 编辑


rioshiina 发表于 2019-5-5 14:14
(2)t1max-t2max=5;max skew=5
(3)clk skew=5, T=25; 这样reg1在t= 0 25 50 75 100 125...处收到时钟信 ...

谢谢回答。不过,我感觉这样做有些问题。如果在reg1-2间引入正偏差, 那么reg2-1间就引入负偏差了,这么做只是使得关键路径从1-2 转到2-1,性能和之前相比没什么变化。
发表于 2019-5-5 15:44:07 | 显示全部楼层
本帖最后由 rioshiina 于 2019-5-5 16:18 编辑


鞋盒中的月亮 发表于 2019-5-5 15:38
谢谢回答。不过,我感觉这样做有些问题。如果在reg1-2间引入正偏差, 那么reg2-1间就引入负偏差了,这么做 ...


第一遍算错了 :(
 楼主| 发表于 2019-5-5 16:02:28 | 显示全部楼层


rioshiina 发表于 2019-5-5 15:44
性能提升了啊, 时钟周期从T=30下降到T=25, 用useful skew填补了差出来的5ps; skew是两个sink点之间的差 ...


这个电路是双向的,从reg1-reg2 是引入了正偏差,反馈回路 reg2-reg1 上就是负偏差了,负偏差的影响显然不能忽略的。

发表于 2019-5-5 16:16:31 | 显示全部楼层


鞋盒中的月亮 发表于 2019-5-5 16:02
这个电路是双向的,从reg1-reg2 是引入了正偏差,反馈回路 reg2-reg1 上就是负偏差了,负偏差的影响显然 ...


是的, 之前忽略了reg2-reg1这一段path; 2l编辑了计算结果, 这次应该是对的 :)
 楼主| 发表于 2019-5-6 14:47:15 来自手机 | 显示全部楼层


rioshiina 发表于 2019-5-5 16:16
是的, 之前忽略了reg2-reg1这一段path; 2l编辑了计算结果, 这次应该是对的 :)
...


嗯嗯,感谢
发表于 2020-7-24 15:48:16 | 显示全部楼层
支持
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 08:23 , Processed in 0.025410 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表