在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1791|回复: 7

[求助] vcs+verdi仿真

[复制链接]
发表于 2019-4-18 14:46:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我在仿真时,仿真完得到的.fsdb文件一直是空白的,用Verdi也找不到.fsdb文件makefile如下
all:comp run

OUTPUT = simv_fsm_moore

VCS = vcs -sverilog +v2k -timescale=1ns/1ns \
        -debug_all \
        +notimingcheck \
        +nospecify \
        +vcs+flush+all \
        -o $(OUTPUT) \
        -l com.log -full64

SIMV = ./$(OUTPUT) \
        -l $(OUTPUT).log

comp:
        $(VCS) -f file.f \
        -fsdb



clean:
        rm -rf core csrc simv* vc_hdrs.h ucli.key urg* *.log *.fsdb novas.* verdilog

发表于 2019-4-19 13:45:17 | 显示全部楼层
tb中加入$fsdbDumpfile,$fsdbVars了么?这类问题可以查看VCS user guide解决
发表于 2019-4-19 13:46:46 | 显示全部楼层
:lol:lol:lol:lol:lol
发表于 2019-4-19 13:48:31 | 显示全部楼层
tb加了$fsdbDumpfile $fsdbDumpvars了么?这类问题可查询vcs user guide文档解决
发表于 2019-4-19 15:45:04 | 显示全部楼层
不要贴makefile,可以把仿真LOG最前面执行的命令粘贴一下,这样大家更直观看你执行命令跟了哪些参数。
 楼主| 发表于 2019-4-22 11:30:58 | 显示全部楼层
解决了,原来我打开Verdi看波形的找的路径不对,感谢大家的帮助
发表于 2019-5-17 20:39:11 | 显示全部楼层
good info.
发表于 2019-5-21 16:56:27 | 显示全部楼层
学习
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 04:37 , Processed in 0.023814 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表