在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: yanpflove

[原创] skill脚本 处理CDF属性值 callback

[复制链接]
发表于 2022-6-24 14:59:19 | 显示全部楼层
好帖,顺便问下楼主,换工艺的时候还是脚本里面一个一个器件定义的吗,
发表于 2022-6-24 20:26:48 | 显示全部楼层


yanpflove 发表于 2019-3-1 18:30
回复 3# huqy

哇哦,谢谢指点,通过遍历List来实现,真是方便简洁多了。


请问下cv~>instances 这个是获取cv的什么属性的,我在ciw窗口先输入dbOpenCellViewType...,然后输入cv~>instances,但是返回的是nil
发表于 2022-6-28 19:17:10 | 显示全部楼层


lwweb 发表于 2022-2-25 08:49
逻辑上是查看CDF中是否设置formInitProc,如果设置了就运行改函数,然后才能调用callback,否则会出错。 ...


您好,请问下PasCdfFormInit这个函数 的具体说明一般在哪里可以查到,我在help文档里面没有看到相关的信息
发表于 2022-6-30 00:20:12 | 显示全部楼层


topf002 发表于 2022-6-28 19:17
您好,请问下PasCdfFormInit这个函数 的具体说明一般在哪里可以查到,我在help文档里面没有看到相关的信 ...


这个是Cadence PDK Automation System (PAS)里面的,具体说明我也没有,这个函数需要一个参数,传入参数就是 cdfgData。如果你用PAS的话就是会用到,对应的用户手册里用过有这个函数的说明。



发表于 2022-6-30 16:51:20 | 显示全部楼层


lwweb 发表于 2022-6-30 00:20
这个是Cadence PDK Automation System (PAS)里面的,具体说明我也没有,这个函数需要一个参数,传入参数 ...


谢谢您的回复,我现在callback的PDk应该就是用PAS做的,callback偶尔会出bug
发表于 2022-7-4 08:23:49 | 显示全部楼层


topf002 发表于 2022-6-30 16:51
谢谢您的回复,我现在callback的PDk应该就是用PAS做的,callback偶尔会出bug


我没有用过PAS。PAS应该是自动生成代码的,一般应该不会出错。请问出错信息是什么?在什么情况下出错?
发表于 2022-7-5 08:43:52 | 显示全部楼层


lwweb 发表于 2022-7-4 08:23
我没有用过PAS。PAS应该是自动生成代码的,一般应该不会出错。请问出错信息是什么?在什么情况下出错?
...


感谢您的回复!就是在执行callback的过程中报错,显示报错为 PasCdfGetDpt: argument #1 should be any user-defined (other) type

发表于 2022-7-11 21:05:38 | 显示全部楼层


topf002 发表于 2022-7-5 08:43
感谢您的回复!就是在执行callback的过程中报错,显示报错为 PasCdfGetDpt: argument #1 should be any u ...


可以考虑把你的代码贴出来看看
发表于 2022-7-12 18:44:08 | 显示全部楼层


lwweb 发表于 2022-7-11 21:05
可以考虑把你的代码贴出来看看


谢谢您的回复,用的是smic28nm的工艺,callback脚本是cadence官方的那个脚本,要是全部cdf进行callback的话就有问题,最后解决办法是只针对赋值的参数进行callback,这样就不会出错;
发表于 2022-7-13 21:01:22 | 显示全部楼层


topf002 发表于 2022-7-12 18:44
谢谢您的回复,用的是smic28nm的工艺,callback脚本是cadence官方的那个脚本,要是全部cdf进行callback的 ...


没有看懂
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-26 13:01 , Processed in 0.020151 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表