在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3375|回复: 2

[求助] 有关Design Compiler中set_max_fanout

[复制链接]
发表于 2018-11-7 13:51:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 highflyer2014 于 2018-11-7 14:33 编辑

各位大侠,想请教一下,在DC综合时设置fanout,使用set_max_fanout 20 [all_inputs]还是set_max_fanout 20 [current_design]?这两个的结果是不同的,current_design是工程最顶层,是不是这种设置方法对下面hierarchy的模块无效?set_max_fanout 20 [all_inputs]这种设置方法是可以作用到顶层下面hierarchy的所有模块?谢谢!
后来发现使用set_max_fanout 20 [all_inputs]这样设置,后端的fanout没报问题,pt也是这样设置,不会报错;但是如果在pt中使用set_max_fanout 20 [current_design]来检测,是有max fanout违例的。那么这个设计里面其实是有些fanout超过DC所设置的20的,set_max_fanout 20 [all_inputs]我理解仅仅是对直接连接到输入port检测fanout,并未对内部信号做检测,是不是这样呢?
发表于 2020-2-25 23:11:24 | 显示全部楼层
有相同的问题+1
发表于 2020-4-21 17:44:53 | 显示全部楼层
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 07:48 , Processed in 0.017431 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表