在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2981|回复: 3

[原创] ubuntu12.04(64bit) + VCS201606 解决./simv失败问题

[复制链接]
发表于 2018-10-29 17:56:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 longnv521 于 2018-10-29 18:09 编辑

1.安装VCS2016 2.使用正确的破解工具
3.设置环境变量


备注:
1.设置VCS的环境变量时,不要选amd64或linux64
个人的环境变量和路径设置如下:
添加VCS相关路径


sudo vim  ~/.bashrc

#VCS2016
#这里不要选amd64 或linux64,否者运行失败!!!!!
#原因估计是与系统兼容性问题

export PATH="/FPGA/vcs2016/bin:"$PATH
export VCS_HOME="/FPGA/vcs2016"


alias vcs="vcs -full64"  //系统是64bit的,添加-full64


2.修改/etc/sysctl.d/10-ptrace.conf

kernel.yama.ptrace_scope = 0



3.测试vcs是否成功

vcs -full64 -sverilog test.sv -R


4.测试结果打印正常


../simv up to date
Chronologic VCS simulator copyright 1991-2016
Contains Synopsys proprietary information.
Compiler version L-2016.06_Full64; Runtime version L-2016.06_Full64;  Oct 29 18:02 2018
this is my first test!!
           V C S   S i m u l a t i o n   R e p o r t
Time: 0
cpu Time:      0.270 seconds;       Data structure size:   0.0Mb
Mon Oct 29 18:02:13 2018
CPU time: .159 seconds to compile + .224 seconds to elab + .248 seconds to link + .459 seconds in simulation

eetop.cn_scl_keygen.rar

4.4 MB, 下载次数: 45 , 下载积分: 资产 -3 信元, 下载支出 3 信元

配合该破解工具

 楼主| 发表于 2018-10-29 18:11:13 | 显示全部楼层
该破解工具能解决verdi20016  dc2016 spyglass 等多个软件,测试都可以用
 楼主| 发表于 2018-10-30 08:54:19 | 显示全部楼层
回复 2# longnv521


   经过验证,添加VCS的机器宏后,amd64也可以使用64bit的进行仿真

export VCS_ARCH_OVERRIDE=linux

export VCS_TARGET_ARCH=amd64


export PATH="/FPGA/vcs2016/amd64/bin:"$PATH

export VCS_HOME="/FPGA/vcs2016"

发表于 2019-11-7 09:49:02 | 显示全部楼层
为啥压缩出错了啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 02:46 , Processed in 0.021823 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表