在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: smartchen

VCS 安装在ubuntu18.04下面的问题【已解决】

[复制链接]
发表于 2018-11-28 20:17:05 | 显示全部楼层
感谢分享
发表于 2019-4-12 09:48:51 | 显示全部楼层
非常感谢!
export VCS_ARCH_OVERRIDE=linux
vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,-no-as-needed
指定文件license方式2016版本好像不能工作,报堆栈错误
要lmgrd启license
发表于 2019-7-14 22:34:17 | 显示全部楼层
CPU time: .451 seconds to compile + .680 seconds to elab + .282 seconds to link
./simv -l sim.log +notimingcheck +nospecify
Error: Message for 'CMD-015' has occurred (ERR-3)
Error: Message for 'CMD-015' has occurred (ERR-3)
Command line: ./simv -l sim.log +notimingcheck +nospecify

--- Stack trace follows:

Dumping VCS Annotated Stack:
No context available
Makefile:19: recipe for target 'simulate' failed
make: *** [simulate] Error 1
-----------------------------------------------------------------------------
compile:
  6     vcs -full64 \
  7     -sverilog \
  8     -debug_all \
  9     +notimingcheck \
10     +nospecify \
11     +v2k \
12     -cpp g++-4.8 -cc gcc-4.8 \
13     -LDFLAGS -Wl,--no-as-needed \
14     -l com.log \
15     $(f)
----------------------------------------------------------------------------------------
16.04上 stack的问题解决不掉
发表于 2019-9-21 20:10:45 | 显示全部楼层
你好楼主,我在Ubuntu 18.04上安装2016版本的vcs和Verdi,scl_11.9,installer_3.3。安装后生成license时校验失败。下图所示:
vcs 、scl 和 Verdi 都按照Linux-64 安装,installer按照AMD64(事实上就是Linux-64)安装。


checkfail.PNG



发表于 2019-9-21 20:12:18 | 显示全部楼层
希望朋友们支个招,这一步跨不过去,后续的步骤无法进行啊
 楼主| 发表于 2019-11-12 23:59:11 | 显示全部楼层


jsanft1314 发表于 2019-9-21 20:10
你好楼主,我在Ubuntu 18.04上安装2016版本的vcs和Verdi,scl_11.9,installer_3.3。安装后生成license时校 ...


没碰到过你这样的问题,你安装步骤按照我上面的来的吗?
发表于 2019-12-2 21:59:43 | 显示全部楼层


smartchen 发表于 2018-10-4 19:22
补充一下,将dash改为bash后,看了报的错:

Warning-[LINX_KRNL] Unsupported Linux kernel


楼主你好,请问你这个错误是怎么解决的。
我的gcc已经换成4.8了,但是还一直报这个错

谢谢
发表于 2020-3-3 16:10:15 | 显示全部楼层
lz知道我的这个问题怎么回事吗?
vcs提示这个:_prev_archive_1.so: undefined reference to `model/simv"'
collect2: error: ld returned 1 exit status
make: *** [product_timestamp] Error 1
Make exited with status 2
结果没有生成simv
发表于 2020-3-7 23:23:45 | 显示全部楼层
thank you for sharing
发表于 2020-4-3 10:18:19 | 显示全部楼层
我安装license都成功了, gcc g++用的4.1.7版本,
vcs **.v
会报出No TopModule/Entity supplied on vcs的Error,
是怎么回事呢,gcc g++版本不对吗,需要升级到4.8?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 15:37 , Processed in 0.028658 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表