在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5995|回复: 16

关于modelsim

[复制链接]
发表于 2003-8-28 01:29:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问运行MODELSIM对内存是否要基本要求.我的机器是256M内存,但是只要模拟时打开SIGNAL窗口,在ADD WAVE TO REGION时,就自动退出.导致无法继续进行之后的模拟.还是我的CRACK有问题呢.我的LICENSE是版主帮我生成的.上次西北狼发了贴后我自己生成还是不可以用.是否能麻烦版主再帮我用西北狼的LICENSE生成一次呢.谢谢.
发表于 2003-8-28 08:09:55 | 显示全部楼层

关于modelsim

我倒是觉得quartus II太慢了,^_^
我的电脑也不好,只能不用它了
发表于 2003-8-28 08:57:34 | 显示全部楼层

关于modelsim

应该还是LICENSE的问题吧
发表于 2003-8-28 09:06:26 | 显示全部楼层

关于modelsim

肯定是没有crack对。
发表于 2003-8-28 09:20:44 | 显示全部楼层

关于modelsim

那你把你的机器id和网卡号通过短消息发给我,我给你产生两种,你试试。
我自己也是这样用的,虽然有时也退出,但基本稳定。
不过我用的是批处理方式,在dos窗口下运行,dump所有的信号,生成wlf波型文件,然后才启动modelsim来看波形的。
发表于 2003-8-28 09:25:52 | 显示全部楼层

关于modelsim

jack的方法好啊,只是dump是verilog的系统函数,VHDL该怎么办?
发表于 2003-8-28 09:26:49 | 显示全部楼层

关于modelsim

晕倒,我的也是了,以前我在98 的时候能正常使用的
昨天装了2000,现在使用也遇到这个问题,很不爽啊
发表于 2003-8-28 10:33:12 | 显示全部楼层

关于modelsim

看看是不是环境变量的路径没设对
发表于 2003-8-28 10:48:11 | 显示全部楼层

关于modelsim

不用系统函数,直接在批处理里使用 vsim  …..  -c -do "log -ports -internal xxx/xx/*; log -r xxx/xx/*; run -all "  -wlf  xxname.wlf 就可以了,大家可以去看看modelsim的手册
发表于 2003-8-28 13:13:01 | 显示全部楼层

关于modelsim

原来如此,Thanks。
以前都用verilog-xl,最近要用VHDL才改modelsim,不熟,听教了,呵呵。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 14:31 , Processed in 0.035667 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表