在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: lalala.

[求助] uvm仿真错误,各位大神帮忙分析一下

[复制链接]
发表于 2018-9-1 14:16:31 | 显示全部楼层
你的seq.start是在sequencer的main_phase里面执行的吗?我没这么用过,不知道这里会不会有问题,一般都是在tc里面去set_config_db,default_sequence指向要执行的seq
 楼主| 发表于 2018-9-1 15:27:21 | 显示全部楼层
回复 21# gaurson

两种都可以,我都是试过,结果一样
发表于 2018-9-1 15:29:18 | 显示全部楼层
在你的sequence calss中加一句:`uvm_declare_p_sequencer(ahb_sequencer),你的问题应该可以解决了。
你的问题是因为m_sequencer是uvm_sequencer_base类型的,而不是你定义的ahb_sequencer类型的
 楼主| 发表于 2018-9-1 15:40:55 | 显示全部楼层
回复 23# guiqix

为什么说m_sequencer是uvm_sequencer_base类型的,而不是你定义的ahb_sequencer类型的

我在agent里面是这样写的ahb_sequencer m_sequencer
发表于 2018-9-1 15:48:50 | 显示全部楼层
回复 24# lalala.
m_sequencer属于每个sequence的成员变量,即使你将ahb_sequencer实例化为了m_sequencer,但它依旧是uvm_sequencer_base,因为它是一个默认值,这个概念可能有点难理解,不过你先可以照我说的试试,我觉得问题应该可以被解决
 楼主| 发表于 2018-9-1 15:53:49 | 显示全部楼层
回复 25# guiqix


   好的,我现在电脑不在身边,晚点我再试试
发表于 2018-9-1 16:09:14 | 显示全部楼层
回复 26# lalala.
你的问题就是一个m_sequencer和p_sequencer之间具体什么区别的问题,感觉很多人对这块都不是很清楚,具体可以去看看张强那本书的6.4.4节,可能有点不太好理解,但根据实例再多想想可能也就理解了。
还有,这块你把ahb_sequencer实例化为my_sequencer,不要实例化为m_sequencer
然后在sequence中声明`uvm_declare_p_sequencer(my_sequencer)

这样你的问题肯定是可以被解决的
发表于 2018-9-1 16:23:53 | 显示全部楼层



这块描述稍有点问题,不用修改ahb_sequencer的实例化,保留你原来的实例化就可以,你的问题跟实例化没啥关系
只需要在sequence中声明`uvm_declare_p_sequencer(ahb_sequencer)就可以了
发表于 2018-9-1 16:25:19 | 显示全部楼层
这块描述稍有点问题,不用修改ahb_sequencer的实例化,保留你原来的实例化就可以,你的问题跟实例化没有关系
只需要在sequence中声明`uvm_declare_p_sequencer(ahb_sequencer)就可以了
发表于 2018-9-2 15:23:00 | 显示全部楼层
楼上言之有理,declare这一句应该是必须的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 07:41 , Processed in 0.020628 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表