在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1547|回复: 0

[求助] 两个class类型转换的结果是什么

[复制链接]
发表于 2018-6-5 11:16:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
class apb_monitor extends uvm_monitor;
   virtual apb_if.passive sigs;

   uvm_analysis_port#(apb_rw) ap;
   apb_config cfg;

   `uvm_component_utils(apb_monitor)

   function new(string name, uvm_component parent = null);
      super.new(name, parent);
      ap = new("ap", this);
   endfunction: new

   virtual function void build_phase(uvm_phase phase);
      apb_agent agent;
      if ($cast(agent, get_parent()) && agent != null) begin
         sigs = agent.vif;
      end
      else begin
         virtual apb_if tmp;
         if (!uvm_config_db#(apb_vif)::get(this, "", "vif", tmp)) begin
            `uvm_fatal("APB/MON/NOVIF", "No virtual interface specified for this monitor instance")
         end
         sigs = tmp;
      end
   endfunction

   virtual protected task run_phase(uvm_phase phase);
      super.run_phase(phase);
      forever begin
         apb_rw tr;

         // Wait for a SETUP cycle
         do begin
            @ (this.sigs.pck);
         end
         while (this.sigs.pck.psel !== 1'b1 ||
                this.sigs.pck.penable !== 1'b0);

         tr = apb_rw::type_id::create("tr", this);
   .....................      
........................

endclass: apb_monitor




两个class类型转换的结果是什么,怎么理解

  if ($cast(agent, get_parent()) && agent != null) begin
         sigs = agent.vif;
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 07:31 , Processed in 0.013361 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表