在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3015|回复: 5

关于Quartus II的几个新手问题请教!

[复制链接]
发表于 2007-7-18 10:50:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
第一个问题:
定义一个输入:inter:in std_logic;如果我想在inter的上升沿进行动作,那么上升沿怎么写啊?
是这样么? if(inter'event and inter='1') then  .....................
还是 if(rising_edge(inter)) then ........................
请指教!谢谢!
第二个问题
如果我在两个进程里都有同一个条件判断的话,那么是否会产生并行信号冲突的问题呢,如下,data 和ot是否会产生语法错误:error(10028):can't resolve multiple constant drivers for net data/ot ..........
addr:in std_logic;
cs:in std_logic;
data:in std_logic_vector(7 downto 0);
otut std_logic_vector(7 downto 0);
process(addr,cs)
begin
      if(addr<='0' and cs<='0') then
        if data<="00001111" then ot<="11110000"
        else null;
         end if;
     else null;
     end if;
end process;
process(addr,cs)
begin
      if(addr<='1' and cs<='0') then
        if data<="00001111" then ot<="00001111"
        else null;
         end if;
     else null;
     end if;
end process;
请多多指教,谢谢!
发表于 2007-7-18 17:01:27 | 显示全部楼层
第一个问题:
如果inter是一个时钟信号, if(inter'event and inter='1') then  .....................
和if(rising_edge(inter)) then ........................都可以

若不是时钟,嘿嘿,自己判断inter的上升沿巴

第二个问题
同一个信号不允许在多个进程中赋值,否则则为多驱动
发表于 2007-7-18 19:22:03 | 显示全部楼层
第一个问题:个人的经验,不同的综合器平台会有不同的生成结果。。。。。
第二个问题:进程的并行性决定了多进程不同能对同一个对象进行赋值。。。。。
 楼主| 发表于 2007-7-19 09:31:52 | 显示全部楼层
多谢2位的回复,那么我想问:如果INTER是一个电平信号而不是时钟信号的话,那么上升沿该怎么写啊~~~~谢谢
发表于 2007-8-7 13:01:15 | 显示全部楼层
时钟、或者电平,都是信号(Signal),可以利用信号类属性函数,'event, =1等等
发表于 2009-9-16 16:56:50 | 显示全部楼层
有收获 第一个问题 我以为还是用第一个方式
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 10:33 , Processed in 0.034950 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表