在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2273|回复: 6

资源超出 怎么办?

[复制链接]
发表于 2007-7-17 16:01:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Selected Device : 2vp7ff896-5
Number of Slices:                   11118  out of   4928   225% (*)
Number of Slice Flip Flops:         19929  out of   9856   202% (*)
Number of 4 input LUTs:              7212  out of   9856    73%  
    Number used as logic:             7148
    Number used as Shift registers:     64


写了一段代码,其中包含2个计数器,8个乘法器,8个累加器,8个除法器,还有多路选择器等,代码在综合时,出现上述提示,
请教:主要是哪个模块占用了这么多的Slices、FF和LUTs等?
          对于这个问题,应该如何解决?
发表于 2007-7-17 17:05:15 | 显示全部楼层
应该是乘法器和除法器
发表于 2007-7-18 17:03:02 | 显示全部楼层
自己察看综合报告查找
发表于 2007-10-17 12:05:01 | 显示全部楼层

建议

超出太多了,只能换大的芯片
发表于 2007-10-17 14:52:33 | 显示全部楼层
可以尝试下使用自带IP里面的乘法和除法,或者用原语调用FPGA自带的固件乘法器
发表于 2007-10-17 19:46:27 | 显示全部楼层
不要用除法器,把除法都换成移位
乘法器也是
发表于 2007-10-18 11:20:07 | 显示全部楼层
把并行操作模式改成串行的方式进行处理,可以使你的设计减少为1/8左右, 就可以放进去了, 但前提是你能接受速度的减低.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 19:51 , Processed in 0.028662 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表