在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2165|回复: 4

[求助] 关于DC环境的设置

[复制链接]
发表于 2018-4-11 20:34:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在网上查了很多资料,步骤都差不多,可是在设置setup文件的时候,不清楚那几个library应该用哪些,有人可以回答一下这个问题吗= =
发表于 2018-4-12 08:07:46 | 显示全部楼层
我也刚接触,说说我的理解。全数字逻辑的话,其实只要target library就可以了。symbol library在用design_vision看网表的时候有用。link library一般是模拟的库和IO库。synthetic library是dc自带的库,常用的是standard.sldb和dw_foundation.sldb,不指定的话,dc好像也会自动找到这两个库。
 楼主| 发表于 2018-4-12 14:02:10 | 显示全部楼层
回复 2# puxiancheng


  你好,请问 target library一般使用哪个呢?一般这个库是去synposys官网下载吗?
不好意思,我们完全没有电路知识基础,感谢您的解答
发表于 2018-4-12 16:26:47 | 显示全部楼层
回复 3# 979436295


   这个是你要走的流片foundry厂提供的。比如这个帖子给了SMIC 0.18的库。
http://bbs.eetop.cn/thread-408761-1-1.html
 楼主| 发表于 2018-4-16 07:49:38 | 显示全部楼层
回复 4# puxiancheng


  非常感谢~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 21:17 , Processed in 0.024038 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表