在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9415|回复: 10

[求助] 巴伦EM仿真得到的S参数如何用在Cadence中的前后仿真当中

[复制链接]
发表于 2018-3-16 15:18:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
自己在Cadence中画了一个类似巴伦结构的版图,将这个版图的gds文件导入到ADS中进行EM仿真,得到了我想要的S参数。现在想把得到的S参数利用于Cadence当中一个包含巴伦的电路结构当中。前仿时,通过调用Analog_Lib里面的n4port器件,并定义S参数文件路径,能够实现对巴伦特性的模拟,完成整个电路的前仿。但是,在后仿当中,因为没有与n4port器件(纯理想器件)对应的版图,所以提参时报错。
希望请教一下,通常在整个电路结构仿真中,怎么处理巴伦部分?(因为存在两个线圈之间的互感耦合,所以需要ADS中的EM仿真功能)
 楼主| 发表于 2018-3-16 22:11:10 | 显示全部楼层
问题我自己解决了,分享一下过程。电路原理图和版图当中不需要有巴伦结构。schematic中各个端口接pin脚,balun部分空白,生成symbol,版图中巴伦部分也留白,这样可以过LVS,提参相当于只提巴伦除外的电路版图部分的寄生参数,生成calibre文件。balun部分因为是进行EM仿真,不需要再提参后仿,直接用所得S参数即可。新建cell-schematic,调用n4port器件,定义S参数文件路径。调用上述的symbol,各端口接相应port,完成设置,进行后仿。仍然存在的问题和疑惑:EM仿真当中似乎不提供温度设置,那么所得S参数可能仅仅反应一个温度下的情况,而后仿通常意义上需要进行一定温度范围变化情况的仿真,那么这个S参数可能就不是很准确了吧?
发表于 2018-7-20 08:52:02 | 显示全部楼层
回复 2# chenbofan888

请问楼主怎么将EM仿真的S曲线导出为.snp文件呢?在ADS界面怎么操作感谢!
发表于 2018-11-28 18:52:49 | 显示全部楼层
请教下ADS EM 仿真进度条一直卡着不懂,请问是什么原因啊?

电脑是P320 内存32G。
发表于 2018-11-29 09:05:45 | 显示全部楼层
回复 2# chenbofan888

这个foundry厂商会和EM工具商合作的,如果你们有foundry的支持,是可以拿到带有温度参数甚至工艺角的无源模型的
发表于 2018-12-25 17:25:57 | 显示全部楼层
请问楼主  共模阻抗回损 如何搭建bench呢 S参数
发表于 2019-11-7 16:00:50 | 显示全部楼层
谢谢分享
发表于 2020-9-18 17:46:32 | 显示全部楼层
可以仿真温度的,在生成的模型里可以设置
发表于 2021-7-20 00:17:16 | 显示全部楼层


chenbofan888 发表于 2018-3-16 22:11
问题我自己解决了,分享一下过程。电路原理图和版图当中不需要有巴伦结构。schematic中各个端口接pin脚,ba ...


你好,我想请问一下balun后仿的问题, image.png 我是这样的一个结构,巴伦部分用的是电阻代替过的LVS, image.png ,我发现我这balun的四个pin角拉不出来。 image.png ,这样就不能新建一个symbol,冒昧的请问一下您这种情况该怎么后仿。如果将文件直接带进图1 的电路图中后仿,增益是-180dB,我想的是calibre抽出来的放进原电路种,源电路balun部分相当于是断掉的。所以结果是错误的,不知道是不是能这么理解。

发表于 2023-4-5 20:20:31 | 显示全部楼层
您好,我最近在用cadence仿巴伦,用于差分pa输出端,但我不知道是我设置的不对还是画的不对,输入port设置的前一级loadpull最优阻抗,输入port是50,VDD啥的都接的地,不管怎么改巴伦的尺寸之类的,导入snp文件的s参数都不太对,可以解答一下吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 11:22 , Processed in 0.034346 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表