在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4460|回复: 2

[求助] UVM功能覆盖率报告

[复制链接]
发表于 2018-1-31 19:17:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在生成UVM验证平台功能覆盖率报告时遇到了点问题,希望大神指点;


makefile里面生成功能覆盖率报告的指令如下:
URG  = urg -dir CovData/vcs/*.vdb -report FcovReport/vcs/total -format text

目前执行单个testcase,功能覆盖率报告无问题,但在不删除CovData和FcovReport文件夹的情况下,执行多个testcase时,功能覆盖率无法连续的进行收集,前一个testcase的覆盖率会对后一个的进行覆盖重写。

想请教一下各位大神,如何才能让功能覆盖率在不同的testcase间进行连续的收集呢?求指教
微信图片_20180131190627.png
 楼主| 发表于 2018-1-31 21:23:32 | 显示全部楼层
已解决

                               
登录/注册后可看大图
功能覆盖率指令.png
发表于 2018-9-26 08:50:48 | 显示全部楼层
回复 2# 自由电子0209


    这么做是编译了多次,生成了多个vdb文件吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 07:34 , Processed in 0.019826 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表