在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3151|回复: 7

[求助] AMS仿真结果不正确

[复制链接]
发表于 2017-12-4 15:29:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
1. 搭建如下电路:

2. div4_top电路如下:
QQ截图20171204152605.png

3. div2_sub代码如下:
// Created by ihdl
module div2_sub (clkin2, clkout2);
    output clkout2;
    input clkin2;                                                                                 
    reg clkout2;
    integer i;

    initial
        begin
           clkout2=0;
           i=0;
        end

    always @( posedge clkin2)
        begin
           if (i==0)
                clkout2 = 1;
           else
                clkout2 = 0;

           i=i+1;

           if (i==2)  i=0;
        end
endmodule

4. 错误描述如下:
4.1 添加div2_sub数字单元(第一幅图下)后,仿真结果不正确,各结点均有波形;
4.2 删除div2_sub数字单元(第一幅图下)后,第一幅图上,仿真结果正确;
4.3 为什么仅仅添加div2_sub后结果就不正确了呢?难道AMS仿真时内部不能有两个数字模块?
QQ截图20171204152555.png
发表于 2017-12-5 16:21:58 | 显示全部楼层
div2_sub是2分频电路吗?
如果是的话,
把always改一下
always @( posedge clkin2)
        begin
           if (i==0) begin
                clkout2 <= 1;
                i <= 1;
          end
           else begin
                clkout2 <= 0;
               i<= 0;
          end

        end
 楼主| 发表于 2017-12-6 11:16:06 | 显示全部楼层
回复 2# jack888518
是二分频,code没问题。问题已解决,谢谢
发表于 2019-3-20 22:38:49 | 显示全部楼层
楼主我想问下要想使用AMS,cadence中需要装什么软件啊,目前只能模拟仿真,现在要想使用AMS仿真还需要装什么软件,求教。
发表于 2020-3-31 09:43:56 | 显示全部楼层


上善若水. 发表于 2019-3-20 22:38
楼主我想问下要想使用AMS,cadence中需要装什么软件啊,目前只能模拟仿真,现在要想使用AMS仿真还需要装什 ...


仿真器选AMS, 加载一下connect rule就可以了
发表于 2020-5-9 18:29:40 | 显示全部楼层


上善若水. 发表于 2019-3-20 22:38
楼主我想问下要想使用AMS,cadence中需要装什么软件啊,目前只能模拟仿真,现在要想使用AMS仿真还需要装什 ...


曾经用过AMS跑过混合信号仿真,好像要用到ius
发表于 2020-5-9 20:56:55 | 显示全部楼层


上善若水. 发表于 2019-3-20 22:38
楼主我想问下要想使用AMS,cadence中需要装什么软件啊,目前只能模拟仿真,现在要想使用AMS仿真还需要装什 ...


IUS,现在改名字了,叫INCISIVE
发表于 2022-11-13 19:03:12 | 显示全部楼层


CuiBing 发表于 2017-12-6 11:16
回复 2# jack888518
是二分频,code没问题。问题已解决,谢谢


楼主你是怎么解决这个问题的啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 18:08 , Processed in 0.043264 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表