在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6315|回复: 10

[讨论] 在at-speed测试时,对于频率较低occ drive的scan chain,有哪些方法做masking

[复制链接]
发表于 2017-11-27 21:17:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
想讨论的问题具体描述如下:
1)设计中有OCC模块,因为是采用Mentor公司的ATPG工具和occ方案,所以要求设计中所有的在chain上的flip-flop cell在scan mode下的test clock都要来自occ之后的;
2)设计中高频时钟域插入OCC,为了满足1),对于其他的低频时钟域也同样做了OCC处理;
3)在跑ATPG时(at-speed,transition fault),对于低频时钟域跑transition fault没有意义(Mentor和foundary也会是这种建议),所以需要mask掉所有的低频时钟域的测试;

问题是有哪些方法可以完成这个目的?

本人的做法是,在跑ATPG产生pattern时,通过clock control description的定义,disable掉所有低频时钟域的capture动作,只保留高频始终域的,而在pattern simulation时,testbech中需要将低频时钟域的时钟固定到0(不产生低频时钟)。

另外,看那文档,还有一种做法是通过命令add_chain_masks,找到低频时钟域的所有scan chain,做mask。

不知道以上做法是否可行,中间是否存在其他问题呢,有没有其他的做法?
发表于 2017-11-28 10:44:39 | 显示全部楼层
可以按照clock domain加故障点,add_faults -clock_domain fastclk1 fastclk2 ...
 楼主| 发表于 2017-11-28 18:33:05 | 显示全部楼层
或者用NCPs(Named Capture Procedure),只care 高频clock domains
发表于 2017-12-4 14:51:39 | 显示全部楼层
低频时钟域不要加OCC了吧
发表于 2017-12-5 16:11:52 | 显示全部楼层
delete_fault  -clock_domain就可以了
发表于 2017-12-10 10:59:10 | 显示全部楼层
回复 1# hezelz08

add faults -capture_procedure all
发表于 2017-12-19 15:25:08 | 显示全部楼层
低频时钟不用做OCC,occ应该也可以出来一个slow test clock,低时钟的clock就和这个slow test clock做mux,在产生transition pattern时,只需要加快时钟之间的这些fault既可。
 楼主| 发表于 2017-12-20 19:34:37 | 显示全部楼层
回复 6# wangzhiyong012
当然,对于低频时钟是不会做transition faults的,也就不需要做OCC了。我不知道你是否也是用的Mentor的ATPG工具,因为他们家工具是有这个要求的(在做transition时,有个P94的rule check,如果发现有chain上的scan cell的test clock不是来自OCC之后的话就会报,当然可以将其设为Warning继续跑,但会导致coverage掉很多),我知道有些公司,他们会单独做一个低频的OCC,然后将所有低频clock在AC模式下都切到这个低频OCC上,你说的是这个意思?
 楼主| 发表于 2017-12-20 19:40:50 | 显示全部楼层
回复 4# xhf811
看用谁家的工具,如果是Synopsys的就不要,如果是Mentor的就会要求你做(如果你选择clock control的方式的话,如果你用NCP方式就不要对低频clock做OCC),反正工具有这个要求,可以不测。你是用什么方式的?
发表于 2023-3-13 15:56:59 | 显示全部楼层
mark 学习
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 06:39 , Processed in 0.029893 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表