在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: sunyongshuai

[资料] Cadence IC软件及安装经验分享

[复制链接]
 楼主| 发表于 2018-1-26 01:11:50 | 显示全部楼层
回复 60# 慕容不悔


   自己做一个岂不更好?楼主用的不是虚拟机。但也有虚拟机版本,感觉不好用哈!
发表于 2018-1-26 10:07:52 | 显示全部楼层
谢谢老板分享,我的积分啊,不够了
发表于 2018-2-3 09:30:55 | 显示全部楼层
楼主你好,破解的时候,执行cadence_patch.sh时说没有sfk文件是为什么?
发表于 2018-2-4 22:47:31 | 显示全部楼层
剛好想學習,還能下真是太幸運 謝謝分享~
发表于 2018-2-5 13:39:25 | 显示全部楼层
谢谢分享发
 楼主| 发表于 2018-2-5 22:20:00 | 显示全部楼层
回复 63# jxx334562610


      请把破解文件移到相应目录,并给相应可执行的权限
发表于 2018-2-7 08:57:17 | 显示全部楼层
回复 1# sunyongshuai
Iscape 百度云密码错误
发表于 2018-2-7 09:47:51 | 显示全部楼层
回复 23# 尤里卡


   Inscape的下载解决了吗?
 楼主| 发表于 2018-2-8 01:51:28 | 显示全部楼层
回复 68# 123de234


   建议重试,直接复制。某些字体l和1区别较小。
发表于 2018-2-8 11:29:54 | 显示全部楼层
回复 69# sunyongshuai

太感谢了!!!解决了我毕设大问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 22:18 , Processed in 0.026870 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表