在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4327|回复: 5

[求助] 用vcs进行uvm仿真,有没有办法使用ucli查看各个phase的状态呢?

[复制链接]
发表于 2017-3-30 16:22:50 | 显示全部楼层 |阅读模式
10资产
如题,我的仿真进入了死循环,有没有办法在不终止仿真、不插入debug语句的情况下获取当前phase的信息呢?我想知道我死在了哪个phase里,然后去查看各组件中该phase的代码

发表于 2017-4-1 16:09:53 | 显示全部楼层
可以用dve,设置断点然后单步执行
发表于 2017-4-1 22:17:16 | 显示全部楼层
verdi interactive debug,有专为uvm开发的phase debug界面
 楼主| 发表于 2017-5-10 21:39:58 | 显示全部楼层
simv后面加上 +UVM_PHASE_TRACE,查看log就行了
 楼主| 发表于 2017-5-10 21:42:10 | 显示全部楼层
回复 3# nativeda


   我找到verdi上的phase debug界面了,但是是空白。是不是得用verdi的simulation,这个界面才会有内容呢?
发表于 2017-6-13 17:56:34 | 显示全部楼层
使用verdi 的单步调试功能,编译选项-debug_access +all。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 03:31 , Processed in 0.029279 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表