马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
本帖最后由 1027199631 于 2017-3-23 22:15 编辑
create_clock -period 100 -wave_form {0 50} [get_ports CLK]CLK与CLK_64波形对应关系如下图:
问:我该如何设置create_generated_clock -divide_by 64 -source CLK -edge {? ? ?} -edge_shift {? ? ?} [get_pins CLK_64]
CLK_64为CLK的64倍分频时钟,且占空比为1/64,CLK_64在CLK的上升沿触发,当计数器由0计到63时,CLK_64跳转为高电平,且计数器清零,代码如下:
always @(posedge CLK or posedge rst) begin if(rst) begin c <= 6'b0; CLK_64 <= 1'b0; end else begin case(c) 6'b000000:begin CLK_64 <= 1'b0; c <= c+1; end 6'b111111:begin CLK_64 <= 1'b1; c <= 6'b0; end default : c <= c+1; endcase end end |