在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2079|回复: 5

[求助] create_genarated_clock设置问题,求解疑

[复制链接]
发表于 2017-3-23 22:08:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 1027199631 于 2017-3-23 22:15 编辑



create_clock -period 100 -wave_form {0 50} [get_ports CLK]CLK与CLK_64波形对应关系如下图: 截图05.bmp



问:我该如何设置create_generated_clock -divide_by 64 -source CLK -edge {? ? ?} -edge_shift {? ? ?} [get_pins CLK_64]


CLK_64为CLK的64倍分频时钟,且占空比为1/64,CLK_64在CLK的上升沿触发,当计数器由0计到63时,CLK_64跳转为高电平,且计数器清零,代码如下:

always @(posedge CLK or posedge rst)

   begin

     if(rst)

        begin

            c <= 6'b0;

            CLK_64 <= 1'b0;

        end

    else

        begin

            case(c)

                6'b000000:begin

                                CLK_64 <= 1'b0;

                                c <= c+1;

                                end

                6'b111111:begin

                                CLK_64 <= 1'b1;

                                c <= 6'b0;

                                end

               default  : c <= c+1;

       endcase

      end

end

 楼主| 发表于 2017-3-24 09:32:24 | 显示全部楼层
顶顶顶
发表于 2017-3-26 11:24:59 | 显示全部楼层
clk_64会在内部使用吗,如果不使用直接不用create,如果使用,是上升沿下降沿同时使用吗,如果不是的话按照对半开的占空比生成时钟吧,如果同时使用上升下降那就create吧,不用generated了
 楼主| 发表于 2017-3-27 09:44:23 | 显示全部楼层
回复 3# 出尘入世


   clk_64会在内部使用,只用到了posedge,它的占空比为1:64,与clk的波形对应关系见上图,不知道该如何定义它为生成时钟
发表于 2017-3-27 14:29:35 | 显示全部楼层
create_generated_clock -name clk64 -source $SRC_clk -master clk \
                                 -edges {1 3 129} $SRC_clk64 -add
 楼主| 发表于 2017-3-28 21:47:08 | 显示全部楼层
回复 5# bornforhappy


   $SRC_clk   $SRC_clk64是什么?  -edge {1 3 129}代表什么?无论时钟初始值是什么,Modelsim仿真出来的clk_64的波形都是如上所示,所以我认为应该是-edge {1 127 129}。看见有些地方有-edge {1 1 3}这样的写法,表示看不懂,可以解释一下吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-7-21 01:17 , Processed in 0.020956 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表