在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2226|回复: 1

[求助] memory compiler生成的sram可以单独生成sdf单独测试吗

[复制链接]
发表于 2017-3-2 12:55:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问,memory compiler生成的sram,想要仿真需要sdf文件,要怎么生成?是用.db在design compiler里生成吗?其实一直不太明白dc里的current design是dc从哪里读取的。我可以只生成mem的sdf吗?我尝试用.db文件只生成mem的sdf但是dc报错说找不到current design。但是读verilog就会报错无法syn。描述的有些凌乱,请问如何解决。thanks in advance!
 楼主| 发表于 2017-3-3 03:36:22 | 显示全部楼层
问题解决。design必须是读入verilog文件。需要将memory compiler生成的.v用一个自己写的可以被dc调用的.v去调用。然后dc就可以读到design了,并且sram的输入输出需要用.()形式指定。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 21:11 , Processed in 0.015387 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表