在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1781|回复: 0

[求助] 关于 prime time 下 LINK sub module

[复制链接]
发表于 2016-11-16 11:55:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
通过DC synthesize 之后, 得到以下的verilog netlist , 用PT 读入之后 所有的module 都能通过 list design 看到 但是 如果我link_design top level 也就是 module a4_bit_carry_sel_adder , 所有在本文件里面的 子module 都报错。可是他们明明就在同一个文件里呀,不知为啥 PT就是看不见。


Warning: Module 'flip_flop_stage_0' in file '/u/yiwei/DC_lab/lab1/adder.v' is not used in the current design .  (LNK-039)



module flip_flop_stage_0 ( outflip, clk, reset, inflip );
.....
module flip_flop_stage_4 ( outflip, clk, reset, inflip );
....

module a4_bit_carry_sel_adder ( S, Cout, A, B, Cin, Clock, reset );


  flip_flop_stage_0 flipflop1 ( .outflip(tempA), .clk(Clock), .reset(n4),
        .inflip(A) );
  flip_flop_stage_4 flipflop2 ( .outflip(tempB), .clk(Clock), .reset(reset),
        .inflip(B) );
  ripple_carry_adder_0 r1 ( .S(tempS), .C(tempcfirst), .A(tempA[1:0]), .B(
        tempB[1:0]), .Cin(1'b0) );
.....
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 20:29 , Processed in 0.012461 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表