在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6300|回复: 10

[求助] DC综合 set_input_delay -max 和 set_input_delay有什么区别

[复制链接]
发表于 2016-10-19 15:46:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
求助DC综合  set_input_delay  -max  和  set_input_delay有什么区别
 楼主| 发表于 2016-10-20 12:13:09 | 显示全部楼层
没大神吗
发表于 2016-10-21 13:42:41 | 显示全部楼层
你可以运用如下方法:
    运用dc_shell指令,打开运行DC,
    再man set_input_delay,便可以知道定义和区别。
发表于 2016-10-21 13:56:58 | 显示全部楼层
想来想去,还是给你详细解答一下:
通常,我们运用set_input_delay –clock clk –max 0.5 find (port, “mode”)(设定该时钟的最大延时)
                   set_input_delay –clock clk –min 0.1 find (port, “mode”)(设定该时钟的最小延时)
                       对时钟的输入延时进行约束,即是说约束input_delay在范围内满足设计要求,而不是单一
                       运用set_input_delay -clock clk 0.5进行约束(把输入延时定义到一个点上是不切合大多
                       数实际设计的)
 楼主| 发表于 2016-10-21 16:32:12 | 显示全部楼层
回复 4# 高瞻forever


    哦哦,恍然大悟,谢谢大神
既授人以渔,又授人以鱼
发表于 2016-10-21 17:35:09 | 显示全部楼层
回复 5# zhouhuan123

真的理解了?
max:最大迟延分析时的迟延值
min:最小迟延分析时的迟延值
发表于 2016-10-24 15:25:38 | 显示全部楼层
回复 5# zhouhuan123


   客气了,能为你解疑和交流,是我的荣幸!
发表于 2016-10-24 16:01:58 | 显示全部楼层
回复 4# 高瞻forever


   举例:setup 用-max,看hold用-min
发表于 2016-10-24 18:21:56 | 显示全部楼层
本帖最后由 高瞻forever 于 2016-10-24 18:25 编辑

回复 8# 又是一个号


   是的,可能一开始我的解释不够全面,很抱歉!   就拿我通常所做的设计来讲,数   据与时钟的时序关系,通常指数模接口之间的信号,
   举例:比如我所做设计的数据,以及相关时钟分别为:CLK_ANA,DAT_ANA;而且模拟
    导入foundry的stdcell下仿真得出CLK_ANA与DAT_ANA在ss下延时为0.6(最大延时,用于setup
    约束),ff下延时为0.2(最小延,用于hold约束),那么我们通常设置为:
              set_input_delay -max 0.7 –clock CLK_ANA  -clock_fall [list DAT_ANA]
              set_input_delay -min  0.1 –clock CLK_ANA  -clock_fall [list DAT_ANA]
  (通常,我们为了设计时序更好,我们会设置约束比实际时序关系稍紧)
 楼主| 发表于 2016-10-31 09:20:09 | 显示全部楼层
回复 9# 高瞻forever


    为什么设计值比实际紧俏
这里stdcell 的最大延时是0.6,最小延时是0.2(这应该是实际要求值)
那为什么我们在写约束的时候min 为0.1 Max为0.7,这不比实际要求宽松了吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 04:33 , Processed in 0.029565 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表