在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3754|回复: 15

[求助] FPGA中如何得到一个输入时钟的2分频同步时钟

[复制链接]
发表于 2016-10-13 11:00:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我用的FPGA为Spartan6,    用verilog语言,   FPGA输入一个rx_clk时钟,如何得到一个与rx_clk相位同步的2分频的时钟呢?就是得到的输出时钟的频率为输入时钟频率的二分之一,我用Clocking IP核做了一个二分频的时钟,但是好像输入和输出的时钟相位不是同步的,不知道还有没有什么好办法?
发表于 2016-10-13 11:02:57 | 显示全部楼层
自己写个分频模块试试!!很简单的样子
 楼主| 发表于 2016-10-13 14:24:46 | 显示全部楼层
回复 2# 诠释幸福
不是那么简单的,要求输入输出的时钟相位是同步的,这个很难做到,
发表于 2016-10-13 19:02:15 | 显示全部楼层
always  @(posedge rx_clk)
  if(reset)
    tx_clk  <=  1'b0  ;
  else
    tx_clk  <=  ~tx_clk ;
发表于 2016-10-14 14:45:15 | 显示全部楼层
IP核,一路输入,你用两路输出啊,分别是原频率时钟和二分频的时钟,如果出来的还是相位不同步你也可以调节里面的参数使误差最小
 楼主| 发表于 2016-10-14 16:10:55 | 显示全部楼层
回复 5# vigorkylin
由于有与输入时钟同步输入的数据,所以必须要求输出的二分频时钟与输入是同步的。
发表于 2016-10-16 13:05:47 | 显示全部楼层
回复 6# yyz1988


    那你也可以用PLL出来跟输入同频率的那个时钟把数据打一拍子啊
发表于 2016-10-17 10:20:17 | 显示全部楼层
分频还是用PLL比较合适
发表于 2016-10-18 15:47:20 | 显示全部楼层
用这个时钟写个计数器就可以了,4楼代码都贴出来了
发表于 2016-10-18 17:03:53 | 显示全部楼层
由于有与输入时钟同步输入的数据,所以必须要求输出的二分频时钟与输入是同步的??
给我的感觉是,用个fifo就解决了,而且最好需要用fifo.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-2 10:36 , Processed in 0.028019 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表